Loading...
Search for: hosseini-monazzah--a
0.137 seconds

    ORIENT: organized interleaved ECCs for new STT-MRAM caches

    , Article Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018 ; Volume 2018-January , 19 April , 2018 , Pages 1187-1190 ; 9783981926316 (ISBN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Sharif University of technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) is a promising alternative to SRAM in cache memories. However, STT-MRAMs face with high probability of write errors due to its stochastic switching behavior. To correct the write errors, Error-Correcting Codes (ECCs) used in SRAM caches are conventionally employed. A cache line consists of several codewords and the data bits are selected in such a way that the maximum correction capability is provided based on the error patterns in SRAMs. However, the different write error patterns in STT-MRAM caches leads to inefficiency of conventional ECC configurations. In this paper, first we investigate the efficiency of ECC configurations... 

    Effects of RPL objective functions on the primitive characteristics of mobile and static IoT infrastructures

    , Article Microprocessors and Microsystems ; Volume 69 , 2019 , Pages 79-91 ; 01419331 (ISSN) Safaei, B ; Mohammad Salehi, A. A ; Hosseini Monazzah, A. M ; Ejlali, A ; Sharif University of Technology
    Elsevier B.V  2019
    Abstract
    The emergence of mobile IoT applications in recent years and the challenge of routing in their infrastructures have motivated scholars to propose appropriate routing mechanisms for such systems. Meanwhile, the IPv6 Routing Protocol for Low Power and Lossy Networks (RPL) is the standard routing protocol for IoT infrastructures. Nevertheless, RPL was mainly designed to comply with the primitive requirements of static IoT applications and it behaves poorly in confronting with the severe alterations in mobile conditions. The most important factor for such a poor behavior in mobile applications is the inappropriate design of OFs, which determine RPL's routing policies in the network. Therefore,... 

    Creep behavior of hot extruded Al-Al2O3 nanocomposite powder

    , Article Materials Science and Engineering A ; Volume 527, Issue 10-11 , 2010 , Pages 2567-2571 ; 09215093 (ISSN) Hosseini Monazzah, A ; Simchi, A ; Seyed Reihani, S. M ; Sharif University of Technology
    2010
    Abstract
    A commercial gas-atomized aluminum powder was mechanically milled in a planetary ball mill under an argon atmosphere for 12 h to produce alumina dispersion strengthened aluminum powder. Transmission electron microscopy (TEM) revealed that about 2 vol.% alumina particles with an average size of 100 nm were distributed in the aluminum matrix. The nanocomposite powder was canned in an aluminum container, vacuum de-gassed, and hot extruded at 723 K at an extrusion ratio of 16:1. The creep behavior of the extruded billet in the direction of extrusion was examined at a constant applied load ranging from 10 to 40 MPa at temperatures of 648, 673 and 723 K. A threshold creep-stress was noticed which... 

    LER: Least-error-rate replacement algorithm for emerging STT-RAM caches

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 16, Issue 2 , 2016 , Pages 220-226 ; 15304388 (ISSN) Hosseini Monazzah , A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Spin-transfer-torque RAMs (STT-RAMs) are the most promising technology for replacing Static RAMs (SRAMs) in on-chip caches. One of the major problems in STT-RAMs is the high error rate due to stochastic switching in write operations. Cache replacement algorithms have a major role in the number of write operations into the caches. Due to this fact, it is necessary to redesign cache replacement algorithms to consider the new challenges of STT-RAM caches. This paper proposes a cache replacement algorithm, which is called least error rate (LER) , to reduce the error rate in L2 caches. The main idea is to place the incoming block in a line that incurs the minimum error rate in write operation.... 

    OPTIMAS: overwrite purging through in-execution memory address snooping to improve lifetime of NVM-based scratchpad memories

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 17, Issue 3 , 2017 , Pages 481-489 ; 15304388 (ISSN) Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    2017
    Abstract
    SRAM-based scratchpad memories (SPMs) used in embedded systems impose high leakage power. Designing SPMs based on non-volatile memories (NVMs) were proposed as NVMs have negligible leakage power. The main problem of utilizing NVMs across the SPM is their limited number of write cycles (endurance). This problem threatens the reliability of NVM-based SPMs. To alleviate the problem of limited endurance in NVM-based SPMs, this paper proposes a method, called overwrite purging through in-execution memory address snooping (OPTIMAS). The main idea behind the proposed method is to control the lifetime of NVM-based SPMs, directly by a hardware unit, outside of the SPM mapping algorithm. This idea... 

    Towards a reliable modulation and encoding scheme for internet of things communications

    , Article 13th IEEE International Conference on Application of Information and Communication Technologies, AICT 2019, 23 October 2019 through 25 October 2019 ; 2019 ; 9781728139005 (ISBN) Sadeghi, P ; Safaei, B ; Talaei, K ; Hosseini Monazzah, A. M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    As the emergence of Internet of Things (IoT) brings the realization of ubiquitous connectivity ever closer, our reliance on these applications gets more important. Nowadays, such connected devices could be found everywhere, from home appliances to industrial control systems and environmental monitoring applications. One of the main challenges in IoT infrastructures is that of reliability, which emboldens itself in the context of Low-power and Lossy Networks (LLN) as they are inherently prone to packet loss as a result of their environmental and design constraints. Therefore, reliability of IoT devices becomes crucially important. With communication, the most important consideration in these... 

    A novel LNA circuit in the L band with the purpose of increasing gain in GSM GPS in wireless multi-receiver systems

    , Article 2nd International Conference on Communication Engineering and Technology, ICCET 2019, 12 April 2019 through 15 April 2019 ; 2019 , Pages 163-167 ; 9781728114392 (ISBN) Kamalijam, S ; Arvandi, A ; Ashtarayeh, M ; Safaei, B ; Hosseini Monazzah, A. M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this paper, a noise amplifier circuit in the L-band frequency (lGHz-2GHz) has been designed and implemented through the Advanced Design System (ADS) software. In this circuit, the Cascade technique is utilized in order to amplify the signal. In addition, current reuse, in-ductive feedback, and the inductive square pair techniques are exploited to increase the gain in linearity mode, isolate the signal, and match the input and output networks. There are three objectives for designing this circuit, i.e., increasing the gain, improving the signal stability in the Frequency linearity Bandwidth (L), and enhancement in the NF value. The proposed circuit includes four levels of transistors with... 

    AWARE: Adaptive way allocation for reconfigurable ECCs to protect write errors in STT-RAM caches

    , Article IEEE Transactions on Emerging Topics in Computing ; 2017 ; 21686750 (ISSN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Miremadi, S. G ; Sharif University of Technology
    IEEE Computer Society  2017
    Abstract
    Spin-Transfer Torque Random Access Memories (STT-RAMs) are a promising alternative to SRAMs in on-chip caches. STT-RAMs face with a high error rate in write operations due to stochastic switching. To alleviate this problem, Error-Correcting Codes (ECCs) are commonly used, which results in a significant area and energy consumption overhead. This paper proposes an efficient technique, so-called Adaptive Way Allocation for Reconfigurable ECCs (AWARE), to correct write errors in STT-RAM caches. AWARE exploits the asymmetric error rate in cell switching directions, which leads to data-dependent write error rates, to reduce the ECC overheads without compromising the reliability of the cache. To... 

    An efficient Protection Technique for last level STT-RAM caches in multi-core processors

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 28, Issue 6 , 2017 , Pages 1564-1577 ; 10459219 (ISSN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Miremadi, S. G ; Sharif University of Technology
    IEEE Computer Society  2017
    Abstract
    Due to serious problems of SRAM-based caches in nano-scale technologies, researchers seek for new alternatives. Among the existing options, STT-RAMseems to be themost promising alternative.With high density and negligible leakage power, STT-RAMs open a new door to respond to future demands of multi-core systems, i.e., large on-chip caches. However, several problems in STT-RAMs should be overcome to make it applicable in on-chip caches.High probability of write error due to stochastic switching is amajor problemin STT-RAMs. Conventional Error-CorrectingCodes (ECCs) impose significant area and energy consumption overheads to protect STT-RAMcaches. These overheads in multi-core processors with... 

    AWARE: Adaptive way allocation for reconfigurable ECCs to protect write errors in STT-RAM caches

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 7, Issue 3 , 2019 , Pages 481-492 ; 21686750 (ISSN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Miremadi, S. G ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Spin-Transfer Torque Random Access Memories (STT-RAMs) are a promising alternative to SRAMs in on-chip caches. STT-RAMs face with a high error rate in write operations due to stochastic switching. To alleviate this problem, Error-Correcting Codes (ECCs) are commonly used, which results in a significant area and energy consumption overhead. This paper proposes an efficient technique, so-called Adaptive Way Allocation for Reconfigurable ECCs (AWARE), to correct write errors in STT-RAM caches. AWARE exploits the asymmetric error rate in cell switching directions, which leads to data-dependent write error rates, to reduce the ECC overheads without compromising the reliability of the cache. To... 

    COACH: Consistency aware check-pointing for nonvolatile processor in energy harvesting systems

    , Article IEEE Transactions on Emerging Topics in Computing ; 2019 ; 21686750 (ISSN) Hoseinghorban, A ; Hosseini Hosseini Monazzah, A. M ; Bazzaz, M ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Recently, energy harvesting systems that utilize hybrid NVM-SRAM memory in their designs are introduced as a promising alternative for battery-operated systems. Since the ambient input power of an energy harvesting system fluctuates as the environmental conditions change, the system may stop the execution of programs until it receives enough energy to continue the execution. Resuming the execution of a program after the suspension may lead to data inconsistency in an energy harvesting system and threatens the correct functionality of the programs. In this paper, we propose COACH, an energy-efficient consistency-aware memory scheme which guarantees the correct functionality and consistency of... 

    QuARK: quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 24 July 2017 through 26 July 2017 ; 2017 ; 15334678 (ISSN) ; 9781509060238 (ISBN) Hosseini Monazzah, A. M ; Shoushtari, M ; Miremadi, S. G ; Rahmani, A. M ; Dutt, N ; Sharif University of Technology
    2017
    Abstract
    Emerging STT-MRAM memories are promising alternatives for SRAM memories to tackle their low density and high static power consumption, but impose high energy consumption for reliable read/write operations. However, absolute data integrity is not required for many approximate computing applications, allowing energy savings with minimal quality loss. This paper proposes QuARK, a hardware/software approach for trading reliability of STT-MRAM caches for energy savings in the on-chip memory hierarchy of multi- A nd many-core systems running approximate applications. In contrast to SRAM-based cache-way-level actuators, QuARK utilizes fine-grained cache-line-level actuation knobs with different... 

    Reliability Improvement in Non-Volatile On-Chip Memories for Embedded Applications

    , Ph.D. Dissertation Sharif University of Technology Hosseini Monazzah, Amir Mahdi (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    With the technology scaling trend in recent years, leakage power has become a major challenge for SRAM-based on-chip memories. According to the recent reports, SRAM-based on-chip memories contribute to more than half of the processors’ power consumption. Accordingly, in recent years, researchers have tried to find an alternative technology for SRAMs in on-chip memories. The International Technology Roadmap for Semiconductors (ITRS) recently announced that STT-MRAMs are the most promising technology to replace SRAMs. While STT-MRAMs benefit from low energy consumption, high endurance, and high density compared to other non-volatile memory technologies, comparing with SRAMs, STT-MRAMs have... 

    Providing Efficient SPM Mapping Algorithms for Fault-Tolerant Embedded Processors

    , M.Sc. Thesis Sharif University of Technology Hosseini Monazzah, Amir Mahdi (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Deterministic and Predictable behaviors are two important constraints in real-time embedded systems. Using cache memories alongside of CPUs may lead to nondeterministic and unpredictable behaviors in embedded systems. To avoid these behaviors, embedded system designers use Scratchpad Memories (SPMs). In addition, using embedded systems in safty-critical applications need to include reliability and fault tolerance. The first challenge to using SPM is the management of its contents,called SPM Mapping. SPM Mappingconsists of three important parts:1) Determining the most frequent parts of programs, 2) Efficient assignment of SPM area to those parts, and 3) Controlling the transitions of those... 

    REFER: A reliable and energy-efficient RPL for mobile IoT applications

    , Article 3rd CSI/CPSSI International Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2020, 10 June 2020 through 11 June 2020 ; July , 2020 Rezagholi Lalani, S ; Salehi, A. A. M ; Taghizadeh, H ; Safaei, B ; Hosseini Monazzah, A. M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Recently, IoT has been massively applied in different areas of human life. Meanwhile, in many of the IoT applications, e.g., the remote patient health-care monitoring systems, the reliability of communications, and the consumed energy in IoT devices, which are mostly battery-operated, are very challenging. Since IoT devices are typically operated in lossy environments, providing a reliable transmission for the packets imposes a noticeable amount of energy consumption. In addition, the runtime movement of the IoT devices in mobile networks further intensifies these issues. Therefore, the applied policies in the IPv6 RPL as the standard routing mechanism in IoT networks, play an important role... 

    Investigating the effect of rolling strain on fracture behavior of roll bonded Al6061 laminates under quasi-static and dynamic loading

    , Article Materials Science and Engineering A ; Volume 558 , 2012 , Pages 82-89 ; 09215093 (ISSN) Hosseini Monazzah, A ; Bagheri, R ; Seyed Reihani, S. M ; Sharif University of Technology
    2012
    Abstract
    Damage tolerance improvement has been reported by laminating aluminum alloys and composites by researchers. Three-layer laminates comprising Al6061 outer layers and Al1050 interlayer have been roll bonded in this research. While most of the works done have focused on fracture properties of roll bonded Al laminates in crack arrester geometry, this study explores their behavior in crack divider configuration. Rolling strain is varied to control the interfacial bonding in laminates. The fracture behavior of laminates and the constituent material was examined via three-point bending and impact tests. This study presents significant improvement in damage tolerance of laminates compared to their... 

    READY: Reliability-and deadline-aware power-budgeting for heterogeneous multi-core systems

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; 2020 Saber Latibari, J ; Ansari, M ; Gohari Nazari, P ; Yari Karin, S ; Hosseini Monazzah, A. M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Tackling the dark silicon problem in a heterogeneous multi-core system, the temperature constraints across the system should be addressed carefully by assigning a proper set of tasks to a pool of the heterogeneous cores during the run-time. When such a system is utilized in a reliable/realtime application, the reliability/timing constraints of the application should also be augmented to the temperature constraints and make the tasks mapping problem more and more complex. To solve the mapping problem in such a situation, we propose READY; an online reliability-and deadline-aware mapping and scheduling algorithm for heterogeneous multi-core systems. READY utilizes an adaptive power constraint... 

    READY: Reliability-and deadline-aware power-budgeting for heterogeneous multicore systems

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 40, Issue 4 , 2021 , Pages 646-654 ; 02780070 (ISSN) Saber Latibari, J ; Ansari, M ; Gohari Nazari, P ; Yari Karin, S ; Hosseini Monazzah, A. M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Tackling the dark silicon problem in a heterogeneous multicore system, the temperature constraints across the system should be addressed carefully by assigning a proper set of tasks to a pool of the heterogeneous cores during the run-time. When such a system is utilized in a reliable/real-time application, the reliability/timing constraints of the application should also be augmented to the temperature constraints and make the tasks mapping problem more and more complex. To solve the mapping problem in such a situation, we propose READY; an online reliability-and deadline-aware mapping and scheduling algorithm for heterogeneous multicore systems. READY utilizes an adaptive power constraint... 

    Introduction and evaluation of attachability for mobile IoT routing protocols with markov chain analysis

    , Article IEEE Transactions on Network and Service Management ; Volume 19, Issue 3 , 2022 , Pages 3220-3238 ; 19324537 (ISSN) Safaei, B ; Taghizade, H ; Hosseini Monazzah, A. M ; Talaei Khoosani, K ; Sadeghi, P ; Mohammadsalehi, A ; Henkel, J ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    Reliability of routing mechanisms in wireless networks is typically measured with Packet Delivery Ratio (PDR). Basically, PDR is reported with an optimistic assumption that the topology is fully constructed, and the nodes have started their packet transmission. This is despite the fact that prior to being able to transmit packets, nodes must first join the network, and then try to keep connected as much as possible. This is a key factor in the overall reliability provided by the routing protocols, especially in mobile IoT applications, where disconnections occur frequently. Nevertheless, there is a lack of appropriate metrics, which could evaluate the routing mechanisms from this... 

    Al-Mg-Si/SiC laminated composites: fabrication, architectural characteristics, toughness, damage tolerance, fracture mechanisms

    , Article Composites Part B: Engineering ; Volume 125 , 2017 , Pages 49-70 ; 13598368 (ISSN) Hosseini Monazzah, A ; Pouraliakbar, H ; Bagheri, R ; Seyed Reihani, S. M ; Sharif University of Technology
    2017
    Abstract
    Different architectures of layered laminates comprising two exterior layers of Al-Mg-Si/SiC metal matrix composite and an Al1050 ductile interlayer were fabricated by means of hot roll-bonding with applying different strains of εr = 39%, 51%, and 63%. For monolithics production, ceramic particulate reinforcement contents of 0, 5, 10, and 15 vol% were utilized. The aim of introducing ductile metal interlayer was to compensate the low toughness of composite layers and consequently enhancement of damage tolerance of bundled structures along with prevention of their catastrophic failure through activation of extrinsic toughening mechanism. Effects of architectural characteristics and fabrication...