Loading...
Search for: shabany--m
0.007 seconds
Total 64 records

    An efficient high-throughput LSI architecture for a synchronization block applied to real-time optical OFDM systems

    , Article Proceedings - IEEE International Symposium on Circuits and Systems ; 1- 5 June , 2014 , pp. 1752-1755 ; ISSN: 02714310 Ghanaatian, R ; Shabany, M ; Sharifkhani, M ; Sharif University of Technology
    Abstract
    An efficient low-complexity VLSI architecture for timing synchronization of a real-time intensity modulation direct detection optical OFDM (IMDD-OOFDM) system is proposed, which results in a significant area reduction. This architecture calculates the correlation among cyclic prefix (CP) regions to estimate the beginning of the OFDM symbol. The proposed architecture utilizes only one functional unit for this purpose, while the throughput is devised for high data-rate optical OFDM systems. Synthesis results of this architecture proves an area saving of 31% compared to the previous work. Moreover, the performance of the correlation method is significantly improved due to a modification applied... 

    A low-power 10-Bit 40-MS/s pipeline ADC using extended capacitor sharing

    , Article Proceedings - IEEE International Symposium on Circuits and Systems ; 1- 5 June , 2014 , pp. 1147-1150 ; ISSN: 02714310 Esmaeelzadeh, H ; Sharifkhani, M ; Shabany, M ; Sharif University of Technology
    Abstract
    This paper describes a new capacitor sharing technique for pipeline ADCs. It enables power reduction of the first and second MDACs simultaneously. The presented noise and power analysis shows that the proposed method is about 30% more efficient than the conventional one in terms of the first and second MDACs power dissipation. A 10-bit 40MS/s pipeline ADC employing the proposed technique was designed in 90-nm CMOS technology achieving a power consumption of 4.2 mW  

    A real-time, low-power implementation for high-resolution eigenvalue-based spectrum sensing

    , Article Analog Integrated Circuits and Signal Processing ; Volume 77, Issue 3 , December , 2013 , Pages 437-447 ; 09251030 (ISSN) Safavi, S. M ; Shabany, M ; Sharif University of Technology
    2013
    Abstract
    In this paper, a novel multiple antenna, high-resolution eigenvalue-based spectrum sensing algorithm based on the FFT of the received signal is introduced. The proposed platform overcomes the SNR wall problem in the conventional energy detection (ED) algorithm, enabling the detection of the weak signals at -10 dB SNR. Moreover, the utilization of FFT for the input signal channelization provides a simple, low-power design for a high-resolution spectrum sensing regime. A real-time, low-area, and low-power VLSI architecture is also developed for the algorithm, which is implemented in a 0.18 μm CMOS technology. The implemented design is the first eigenvalue-based detection (EBD) architecture... 

    An efficient VLSI architecture of QPP interleaver/deinterleaver for LTE turbo coding

    , Article Proceedings - IEEE International Symposium on Circuits and Systems ; 2013 , Pages 797-800 ; 02714310 (ISSN) ; 9781467357609 (ISBN) Ardakani, A ; Mahdavi, M ; Shabany, M ; Sharif University of Technology
    2013
    Abstract
    Long Term Evolution (LTE) supports peak data rates in excess of 300 Mb/s. A good approach to achieve such rates is by parallelizing the required processing in turbo decoders. An interleaver is an important part of a turbo decoder. LTE uses the Quadratic Permutation Polynomial (QPP) interleaver, which makes it suitable for parallel decoding. In this paper, we propose an efficient architecture for the QPP interleaver, called the Add-Compare-Select (ACS) permuting network. A unique feature of the proposed architecture is that it can be used both as the interleaver and deinterleaver leading to a high-speed low-complexity hardware interleaver/deinterleaver for turbo decoding. The proposed design... 

    Novel MIMO detection algorithm for high-order constellations in the complex domain

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 21, Issue 5 , 2013 , Pages 834-847 ; 10638210 (ISSN) Mahdavi, M ; Shabany, M ; Sharif University of Technology
    2013
    Abstract
    A novel detection algorithm with an efficient VLSI architecture featuring efficient operation over infinite complex lattices is proposed. The proposed design results in the highest throughput, the lowest latency, and the lowest energy compared to the complex-domain VLSI implementations to date. The main innovations are a novel complex-domain means of expanding/visiting the intermediate nodes of the search tree on demand, rather than exhaustively, as well as a new distributed sorting scheme to keep track of the best candidates at each search phase. Its support of unbounded infinite lattice decoding distinguishes the present method from previous K-Best strategies and also allows its complexity... 

    A VLSI architecture for multiple antenna eigenvalue-based spectrum sensing

    , Article 2012 19th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2012, 9 December 2012 through 12 December 2012 ; December , 2012 , Pages 153-156 ; 9781467312615 (ISBN) Safavi, S. M ; Shabany, M ; Sharif University of Technology
    2012
    Abstract
    An Eigenvalue-based detection (EBD) scheme, is proposed as an efficient method to overcome the noise uncertainty and the SNR wall problem in conventional energy detection (ED) schemes. Despite remarkable efforts made to analyze the EBD performance, a VLSI implementation is missing in literature. In this paper, a new FFT-based EBD algorithm is introduced, which eliminates the need for filter banks and discrete wavelet packet transform to channelize the input signal. The proposed method enables the utilization of the EBD algorithm in high-resolution spectrum sensing approaches. Moreover, it enables the detection of signals with SNRs as low as -10 dB. A low-power, area-efficient yet real-time... 

    Ultra high-throughput architectures for hard-output MIMO detectors in the complex domain

    , Article Midwest Symposium on Circuits and Systems, 7 August 2011 through 10 August 2011l ; August , 2011 ; 15483746 (ISSN) ; 9781612848570 (ISBN) Mahdavi, M ; Shabany, M ; Sharif University of Technology
    2011
    Abstract
    In this paper, a novel hard-output detection algorithm for the complex multiple-input multiple-output (MIMO) detectors is proposed, which results in a significant throughput enhancement, a near-ML performance, and an SNR-independent fixed-throughput. Moreover, a high-throughput VLSI implementation is proposed, which is based on a novel method of the node generation and sorting scheme. The proposed design achieves the throughput of 10Gbps in a 0.13 μ CMOS process, which is the highest throughput reported in the literature for both the real and the complex domains. Synthesis results in 90nm CMOS also show that the proposed scheme can achieve the throughput of up to 15Gbps. Moreover, the FPGA... 

    A high-throughput VLSI architecture for hard and soft SC-FDMA MIMO detectors

    , Article IEEE Transactions on Circuits and Systems I: Regular Papers ; Volume 62, Issue 3 , January , 2015 , Pages 761-770 ; 15498328 (ISSN) Neshatpour, K ; Shabany, M ; Gulak, G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    This paper introduces a novel low-complexity multiple-input multiple-output (MIMO) detector tailored for single-carrier frequency division-multiple access (SC-FDMA) systems, suitable for efficient hardware implementations. The proposed detector starts with an initial estimate of the transmitted signal based on a minimum mean square error (MMSE) detector. Subsequently, it recognizes less reliable symbols for which more candidates in the constellation are browsed to improve the initial estimate. An efficient high-throughput VLSI architecture is also introduced achieving a superior performance compared to the conventional MMSE detectors with less than 28% added complexity. The performance of... 

    A novel area-efficient VLSI architecture for recursion computation in LTE turbo decoders

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; Volume 62, Issue 6 , 2015 , Pages 568-572 ; 15497747 (ISSN) Ardakani, A ; Shabany, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Long-term evolution (LTE) is aimed to achieve the peak data rates in excess of 300 Mb/s for the next-generation wireless communication systems. Turbo codes, the specified channel-coding scheme in LTE, suffer from a low-decoding throughput due to its iterative decoding algorithm. One efficient approach to achieve a promising throughput is to use multiple maximum a posteriori (MAP) cores in parallel, resulting in a large area overhead. The two computationally challenging units in an MAP core are α and β recursion units. Although several methods have been proposed to shorten the critical path of these recursion units, their area-efficient architecture with minimum silicon area is still missing.... 

    An efficient max-log MAP algorithm for VLSI implementation of turbo decoders

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 24 May 2015 through 27 May 2015 ; Volume 2015-July , 2015 , Pages 1794-1797 ; 02714310 (ISSN) ; 9781479983919 (ISBN) Ardakani, A ; Shabany, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Long term evolution (LTE)-advanced aims the peak data rates in excess of 3 Gbps for the next generation wireless communication systems. Turbo codes, the specified channel coding scheme in LTE, suffers from a low-decoding throughput due to its iterative decoding algorithm. One efficient approach to achieve a promising throughput is to use multiple Maximum a Posteriori (MAP) cores in parallel, resulting in a large area overhead, a big drawback. The scaled Max-log MAP algorithm is a common approach to implement the MAP algorithm due to its efficient architecture with its acceptable performance. Although many works have been reported to reduce the area of the MAP unit, an efficient VLSI... 

    TTCN: A new approach for low-power split-row LDPC decoders

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 24 May 2015 through 27 May 2015 ; Volume 2015-July , 2015 , Pages 2001-2004 ; 02714310 (ISSN) ; 9781479983919 (ISBN) Shahrad, M ; Shabany, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Split-Row technique is proved to be one of the most effective methods to reduce the routing complexity of fully-parallel LDPC decoders. This technique is based on the idea of splitting each check node processor to multiple smaller processors. This paper introduces a new method, to increase the power-efficiency of Split-Row LDPC decoders. The proposed method is called trust to the truthful check node (TTCN), enabling the decoder to only depend on a portion of check node processors at specific decoding iterations. This leads to an average reduction of 30%-40% in the check node dynamic power consumption. This is achieved by means of trust to a minority of check node processors and gating the... 

    Improved CT image reconstruction through partial Fourier sampling

    , Article Scientia Iranica ; Volume 23, Issue 6 , 2016 , Pages 2908-2916 ; 10263098 (ISSN) Abbasi, H ; Kavehvash, Z ; Shabany, M ; Sharif University of Technology
    Sharif University of Technology  2016
    Abstract
    A novel CT imaging structure based on Compressive Sensing (CS) is proposed. The main goal is to mitigate the CT imaging time and, thus, X-ray radiation dosage without compromising the image quality. The utilized compressive sensing approach is based on radial Fourier sampling. Thanks to the intrinsic relation between captured radon samples in a CT imaging process and the radial Fourier samples, partial Fourier sampling could be implemented systematically. This systematic compressive sampling helps in better control of required conditions such as incoherence and sparsity to guarantee adequate image quality in comparison to previous CS-based CT imaging structures. Simulation results prove the... 

    Nonlinear cuffless blood pressure estimation of healthy subjects using pulse transit time and arrival time

    , Article IEEE Transactions on Instrumentation and Measurement ; Volume 66, Issue 12 , 2017 , Pages 3299-3308 ; 00189456 (ISSN) Esmaili, A ; Kachuee, M ; Shabany, M ; Sharif University of Technology
    Abstract
    This paper presents a novel blood pressure (BP) estimation method based on pulse transit time (PTT) and pulse arrival time (PAT) to estimate the systolic BP (SBP) and the diastolic BP (DBP). A data acquisition hardware is designed for high-resolution sampling of phonocardiogram (PCG), photoplethysmogram, and electrocardiogram (ECG). PCG and ECG perform as the proximal timing reference to obtain PTT and PAT indices, respectively. In order to derive a BP estimator model, a calibration procedure, including a supervised physical exercise, is conducted for each individual, which causes changes in their BP, and then, a number of reference BPs are measured alongside the acquisition of the signals... 

    A 13 Gbps, 0.13 μm CMOS, multiplication-free MIMO detector

    , Article Journal of Signal Processing Systems ; Volume 88, Issue 3 , 2017 , Pages 273-285 ; 19398018 (ISSN) Mahdavi, M ; Shabany, M ; Sharif University of Technology
    Abstract
    A novel ultra high-throughput detection algorithm with an efficient VLSI architecture for high-order MIMO detectors in the complex constellations is proposed. The main contributions include a new method for the node generation in complex-domain, pipelinable sorters, and a simple combinational circuit instead of the conventional multipliers, which makes the proposed architecture multiplication-free. The proposed design achieves an SNR-independent throughput of 13.3 Gbps at the clock frequency of 556 MHz in a 0.13 μm CMOS technology with a near ML performance. The implemented design consumes 90 pJ per detected bit with the initial latency of 0.3 μs. Also, the synthesis results in a 90 nm CMOS... 

    A low-complexity fully scalable interleaver/address generator based on a novel property of QPP interleavers

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 28 May 2017 through 31 May 2017 ; 2017 ; 02714310 (ISSN) ; 9781467368520 (ISBN) Ardakani, A ; Shabany, M ; Sharif University of Technology
    Abstract
    5-th generation mobile networks aim the peak data rates in excess of few Gbs, which may appear to be challenging to achieve due to the existence of some blocks such as the turbo decoder. In fact, the interleaver is known to be a major challenging part of the turbo decoder due to its need to the parallel interleaved memory access. LTE uses Quadratic Permutation Polynomial (QPP) interleaver, which makes it suitable for the parallel decoding. In this paper, a new property of the QPP interleaver, called the correlated shifting property, is theoretically proved, leading to a fully scalable interleaver and a low-complexity address generator for an arbitrary order of parallelism. The proposed... 

    Non-invasive blood pressure estimation using phonocardiogram

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 28 May 2017 through 31 May 2017 ; 2017 ; 02714310 (ISSN) ; 9781467368520 (ISBN) Esmaili Dastjerdi, A ; Kachuee, M ; Shabany, M ; Sharif University of Technology
    Abstract
    This paper presents a novel approach based on pulse transit time (PTT) for the estimation of blood pressure (BP). In order to achieve this goal, a data acquisition hardware is designed for high-resolution sampling of phonocardiogram (PCG) and photoplethysmogram (PPG). These two signals can derive PTT values. Meanwhile, a force-sensing resistor (FSR) is placed under the cuff of the BP reference device to mark the moments of measurements accurately via recording instantaneous cuff pressure. For deriving the PTT-BP models, a calibration procedure including a supervised physical exercise is conducted for each individual. The proposed method is evaluated on 24 subjects. The final results prove... 

    Symmetric split-row LDPC decoders

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 28 May 2017 through 31 May 2017 ; 2017 ; 02714310 (ISSN) ; 9781467368520 (ISBN) Shahrad, M ; Shabany, M ; Sharif University of Technology
    Abstract
    LDPC codes are deployed in many modern wired and wireless communication systems. while fully-parallel LDPC decoders are very efficient, they typically suffer from routing complexity. the split-row method effectively reduces this complexity with a minor performance loss. This paper shows the importance of symmetry in Split-Row architectures and proves that the implementation of Split-Row decoders based on new proposed smart column-permuted versions of parity check matrices leads to a better error performance as well as a more efficient hardware. Moreover, in order to achieve optimized column-permuted parity check matrices, a heuristic approach is proposed. This method is then generalized to... 

    Improved-resolution millimeter-wave imaging through structured illumination

    , Article Applied Optics ; Volume 56, Issue 15 , 2017 , Pages 4454-4465 ; 1559128X (ISSN) Shayei, A ; Kavehvash, Z ; Shabany, M ; Sharif University of Technology
    Abstract
    A resolution-improved active millimeter-wave (MMW) imaging structure, based on the theory of structured illumination, is proposed in this paper. The structured illumination is a well-defined concept for surpassing the diffraction limit in optical microscopy, where imposing grating patterns on the targeted object could help in moving the object frequency spectrum along the desired direction in the spatial frequency domain. This frequency shift helps in passing different parts of the object's frequency spectrum through the diffraction filter. The combination of resultant images provides a framework to pass a wider frequency band of the object, thereby achieving super-resolution. This idea has... 

    Spectral redundancy compensation in multi-static millimeter-wave imaging

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; Volume 65, Issue 5 , May , 2018 , Pages 687-691 ; 15497747 (ISSN) Kazemi, M ; Shabany, M ; Kavehvash, Z ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    This brief introduces a modified backprojection algorithm to compensate the effect of redundancy of captured data in spatial-fourier or k-space domain for multi-static millimeter-wave imaging. The data measured by each transmitter-receiver pair is explained in k-space domain and the redundancies are determined. Such redundancies act as an undesirable filter that distorts the appearance of the resulting image. Our goal is to modify SAR backprojection algorithm to address this problem, where extensive simulation and experimental results are also provided. Our measurements show a significant improvement in the overall quality and edge preservation in the reconstructed image of objects under the... 

    Efficient millimetre-wave imaging structure for detecting axially rotated objects

    , Article IET Microwaves, Antennas and Propagation ; Volume 12, Issue 3 , 2018 , Pages 416-424 ; 17518725 (ISSN) Farsaee, A. A ; Kavehvash, Z ; Shabany, M ; Sharif University of Technology
    Institution of Engineering and Technology  2018
    Abstract
    An efficient multi-static millimetre-wave (MMW) imaging system is proposed with the aim of obtaining the information of an axially tilted object. It is known that a multi-static structure performs better than a mono-static array in detecting the reflected signal from axially tilted surfaces due to the specular reflection. Still, no efficient multi-static structure for the purpose of imaging tilted objects in view of the computational time, cost and image quality is proposed till now. The authors propose an efficient multi-static MMW imaging system tackle this issue with the minimum number of antennae. Furthermore, the small number of antennae in the proposed design and the proposed fast...