Loading...
Search for: area-overhead
0.006 seconds
Total 21 records

    SCFIT: A FPGA-based fault injection technique for SEU fault model

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2012 , Pages 586-589 ; 15301591 (ISSN) ; 9783981080186 (ISBN) Mohammadi, A ; Ebrahimi, M ; Ejlali, A ; Miremadi, S. G ; Sharif University of Technology
    2012
    Abstract
    In this paper, we have proposed a fast and easy-to-develop FPGA-based fault injection technique. This technique uses the Altera FPGAs debugging facilities in order to inject SEU fault model in both flip-flops and memory units. Since this method uses the FPGAs built-in facilities, it imposes a negligible performance and area overhead on the system. The experimental results on Leon2 processor shows that the proposed technique is on average four orders of magnitude faster than a simulation-based fault injection  

    A low-cost fault-tolerant technique for carry look-ahead adder

    , Article 2009 15th IEEE International On-Line Testing Symposium, IOLTS 2009, Sesimbra-Lisbon, 24 June 2009 through 26 June 2009 ; 2009 , Pages 217-222 ; 9781424445950 (ISBN) Namazi, A. R ; Sedaghat, Y ; Miremadi, G ; Ejlali, A. R ; Sharif University of Technology
    2009
    Abstract
    This paper proposes a low-cost fault-tolerant Carry Look-Ahead (CLA) adder which consumes much less power and area overheads in comparison with other fault-tolerant CLA adders. Analytical and experimental results show that this adder corrects all single-bit and multiple-bit transient faults. The Power-Delay Product (PDP) and area overheads of this technique are decreased at least 82% and 71%, respectively, as compared to adders which use traditional TMR, parity prediction, and duplication techniques. © 2009 IEEE  

    A low energy soft error-tolerant register file architecture for embedded processors

    , Article 11th IEEE High Assurance Systems Engineering Symposium, HASE 2008, Nanjing, 3 December 2008 through 5 December 2008 ; December , 2008 , Pages 109-116 ; 15302059 (ISSN); 9780769534824 (ISBN) Fazeli, M ; Ahmadian, S. N ; Miremadi, S. G ; Nanjing University; IEEE Computer Society; IEEE Reliability Society ; Sharif University of Technology
    2008
    Abstract
    This paper presents a soft error-tolerant architecture to protect embedded processors register files. The proposed architecture is based on selectively duplication of the most vulnerable registers values in a cache memory embedded beside the processor register file so called register cache. To do this, two parity bits are added to each register of the processor to detect up to three contiguous errors. To recover the erroneous register value, two distinct cache memories are utilized for storing the redundant copy of the vulnerable registers, one for short lived registers and the other one for long lived registers. The proposed method has two key advantageous as compared to fully ECC protected... 

    Design and synthesis of AKAM: A RISC asynchronous microprocessor

    , Article 2007 International Conference on Intelligent and Advanced Systems, ICIAS 2007, Kuala Lumpur, 25 November 2007 through 28 November 2007 ; 2007 , Pages 1318-1323 ; 1424413559 (ISBN); 9781424413553 (ISBN) Mirza Aghatabar, M ; Rasooli, A ; Jafarpour, B ; Sharif University of Technology
    2007
    Abstract
    Asynchronous microprocessors are more flexible to adapt to physical parameters, and have lower power consumption than synchronous microprocessors. In this paper we will introduce the design of an asynchronous microprocessor (V8-uRISC) and explore its design process compared to synchronous design. The processor is synthesized by Persia, an automatic tool for synthesizing asynchronous circuits. We have performed full functional test at various levels of design and synthesis. Our results show that an area overhead is expected for the asynchronous design as the cost for lower power and more robustness. ©2007 IEEE  

    Operand Width Aware Hardware Reuse: A low cost fault-tolerant approach to ALU design in embedded processors

    , Article Microelectronics Reliability ; Volume 51, Issue 12 , December , 2011 , Pages 2374-2387 ; 00262714 (ISSN) Fazeli, M ; Namazi, A ; Miremadi, S. G ; Haghdoost, A ; Sharif University of Technology
    2011
    Abstract
    This paper presents a low cost fault-tolerant technique so called OWHR (Operand Width Aware Hardware Reuse) to ALU design in embedded processors. The OWHR technique is motivated by two facts: (1) Many of the produced and consumed values are narrow-width values in the embedded processors, i.e. they have leading zeros or ones in their most significant bits. This indicates that only a fraction of the circuit is performing useful operations when a particular arithmetic or logic circuit in the ALU is operating on narrow-width values; (2) other circuits of the ALU are not used, when a particular arithmetic or logic circuit is being utilized to perform a specific operation in the ALU in the... 

    A fast and accurate multi-cycle soft error rate estimation approach to resilient embedded systems design

    , Article Proceedings of the International Conference on Dependable Systems and Networks, 28 June 2010 through 1 July 2010 ; June , 2010 , Pages 131-140 ; 9781424475018 (ISBN) Fazeli, M ; Miremadi, S. G ; Asadi, H ; Nematollah Ahmadian, S ; Sharif University of Technology
    2010
    Abstract
    In this paper, we propose a very fast and accurate analytical approach to estimate the overall SER and to identify the most vulnerable gates,flip-flops, and paths of a circuit. Using such information, designers can selectively protect the vulnerable parts resulting in lower power and area overheads that are the most important factors in embedded systems. Unlike previous approaches, the proposed approach firstly does not rely on fault injection or fault simulation; secondly it measures the SER for multi cycles of circuit operation; thirdly, the proposed approach accurately computes all three masking factors, namely, logical, electrical, and timing masking; fourthly, the effects of error... 

    FEDC: Control flow error detection and correction for embedded systems without program interruption

    , Article ARES 2008 - 3rd International Conference on Availability, Security, and Reliability, Proceedings, 4 March 2008 through 7 March 2008, Barcelona ; 2008 , Pages 33-38 ; 9780769531021 (ISBN) Farazmand, N ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    2008
    Abstract
    This paper proposes a new technique called CFEDC to detect and correct control flow errors (CFEs) without program interruption. The proposed technique is based on the modification of application software and minor changes in the underlying hardware. To demonstrate the effectiveness of CFEDC, it has been implemented on an OpenRISC 1200 as a case study. Analytical results for three workload programs show that this technique detects all CFEs and corrects on average about 81.6% of CFEs. These figures are achieved with zero error detection /correction latency. According to the experimental results, the overheads are generally low as compared to other techniques; the performance overhead and the... 

    Reimbursing the handshake overhead of asynchronous circuits using compiler pre-synthesis optimizations

    , Article 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools, DSD 2008, Parma, 3 September 2008 through 5 September 2008 ; 2008 , Pages 290-297 ; 9780769532776 (ISBN) Zamanzadeh, S ; Mirza Aghatabar, M ; Najibi, M ; Pedram, H ; Sadeghi, A ; Sharif University of Technology
    2008
    Abstract
    Asynchronous circuits have many advantages vs synchronous design styles like high performance and lower power consumption; however, there is a drawback of big overhead in handshake circuitry of these circuits. In this paper, we have reduced the amount of these extra circuits by take advantage of some compiler techniques. The compiler methods can be used innovatively to improve the synthesis results in terms of both power consumption and area, since these code motions lead to removing of completion detection and validity check parts of asynchronous designs. To the best of our knowledge this is the first effort in using the compiler pre-synthesis optimizations in asynchronous circuits to... 

    A cost-effective error detection and roll-back recovery technique for embedded microprocessor control logic

    , Article 20th International Conference on Microelectronics, ICM'08, Sharjah, 14 December 2008 through 17 December 2008 ; January , 2008 , Pages 470-473 ; 9781424423705 (ISBN) Ghasemzadeh Mohammadi, H ; Tabkhi, H ; Miremadi, S. G ; Ejlali, A ; Sharif University of Technology
    2008
    Abstract
    The increasing rate of transient faults necessitates the use of on-chip fault-tolerant techniques in embedded microprocessors. Performance overhead is a challenging problem in on-chip fault-tolerant techniques used in the random logic of the embedded microprocessors. This paper presents a signature-based error detection and roll-back recovery technique for the control logic with much lower performance overhead as compared to many previous techniques. The low performance overhead is achieved by eliminating the fault masking overhead cycles in the previous techniques. The performance overhead is analytically studied, and the analytical results recommend at which fault rate the use of the... 

    A novel GA-based High-Level Synthesis technique to enhance RT-level concurrent testing

    , Article 14th IEEE International On-Line Testing Symposium, IOLTS 2008, Rhodes, 7 July 2008 through 9 July 2008 ; 2008 , Pages 173-174 ; 9780769532646 (ISBN) Karimi, N ; Aminzadeh, S ; Safari, S ; Navabi, Z ; Sharif University of Technology
    2008
    Abstract
    This paper presents an efficient High-Level Synthesis (HLS) approach to improve RT-Level concurrent testing. The proposed method used for both fault detection and fault location. At first the available resources are used in their dead intervals to test active resources for fault detection, and then some changes are applied to the RT-Level controller to locate the faults. The fault detection step is based on a genetic algorithm (GA) search technique. This genetic algorithm is applied to the design after high level synthesis process to explore the test map. The proposed method has been evaluated based on dependability enhancement and area/latency overhead imposed to different benchmarks after... 

    Low-power arithmetic unit for DSP applications

    , Article International Symposium on System on Chip, SoC ; 31 October- 2 November , 2011 , pp. 68-71 ; ISBN: 9781457706721 Modarressi, M ; Nikounia, S. H ; Jahangir, A. H ; Sharif University of Technology
    Abstract
    DSP algorithms are one of the most important components of modern embedded computer systems. These applications generally include fixed point and floating-point arithmetic operations and trigonometric functions which have long latencies and high power consumption. Nonetheless, DSP applications enjoy from some interesting characteristics such as tolerating slight loss of accuracy and high degree of value locality which can be exploited to improve their power consumption and performance. In this paper, we present an application-specific result-cache that aims to reduce the power consumption and latency of DSP algorithms by reusing the results of the arithmetic operations executed on the same... 

    Memory mapped SPM: Protecting instruction scratchpad memory in embedded systems against soft errors

    , Article Proceedings - 9th European Dependable Computing Conference, EDCC 2012 ; 2012 , Pages 218-226 ; 9780769546711 (ISBN) Farbeh, H ; Fazeli, M ; Khosravi, F ; Miremadi, S. G ; Sharif University of Technology
    IEEE  2012
    Abstract
    Predictability, energy consumption, area and reliability are the major concerns in embedded systems. Using scratchpad memories (SPMs) instead of cache memories play an increasing role to satisfy these concerns. Both cache and SPM as on-chip SRAM memories are highly vulnerable to soft errors and as they contain the most frequently used blocks of the program, their errors can easily propagate in system leading to erroneous results. Unlike the instruction cache, an error in the instruction SPM cannot be corrected using only parity bits by invalidating the erroneous line. This study suggests a low-cost mechanism to protect the instruction SPM against soft errors. The main idea underlying the... 

    Efficient periodic clock calculus in latency-insensitive design

    , Article 2011 18th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2011, 11 December 2011 through 14 December 2011 ; Dec , 2011 , Pages 546-549 ; 9781457718458 (ISBN) Zare, M ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
    Abstract
    Communication wire delay between multiple blocks is becoming a critical issue in System on Chip (SoC) design. Scheduling-based Latency-Insensitive Design (LID) is a method to alleviate wire delays by utilizing a central scheduling scheme for periodic clock gating of the blocks. The scheduling scheme resides in shift registers as sequences of '1' and '0' bits. In many systems, these sequences are too long, and have large area overhead. This problem indisposes the implementation of the scheduling based protocol. This paper proposes an algorithm that finds sequences with shorter lengths in comparison with the prior algorithm. On synthetic/random test cases, the algorithm gives 45% reduction on... 

    A multi-bit error tolerant register file for a high reliable embedded processor

    , Article 2011 18th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 22011, 11 December 2011 through 14 December 2011 ; December , 2011 , Pages 532-537 ; 9781457718458 (ISBN) Esmaeeli, S ; Hosseini, M ; Vahdat, B. V ; Rashidian, B ; Sharif University of Technology
    2011
    Abstract
    The vulnerability of microprocessors to soft errors is increasing due to continuous shrinking in fabrication process. Recent studies show that 1-5% of the SEUs (single event upset) can cause MBUs (multiple bit upsets). The probability of MBU generation due to SEU is increasing because of the reduction in minimum energy required to flip a memory bit in modern technologies. Register file is the most sensitive component in a microprocessor. In this paper, we present an innovative way to protect registers in a 64-bit register file for a RISC processor using extended Hamming (8, 4) code (SEC-DED code) and narrow-width values. A narrow-width value can be represented by half number of bits of the... 

    Low-power arithmetic unit for DSP applications

    , Article 2015 IEEE International Conference on Signal Processing, Informatics, Communication and Energy Systems, SPICES 2015 ; 2011 , Pages 68-71 ; 9781457706721 (ISBN) Modarressi, M ; Nikounia, S. H ; Jahangir, A. H ; Sharif University of Technology
    Abstract
    DSP algorithms are one of the most important components of modern embedded computer systems. These applications generally include fixed point and floating-point arithmetic operations and trigonometric functions which have long latencies and high power consumption. Nonetheless, DSP applications enjoy from some interesting characteristics such as tolerating slight loss of accuracy and high degree of value locality which can be exploited to improve their power consumption and performance. In this paper, we present an application-specific result-cache that aims to reduce the power consumption and latency of DSP algorithms by reusing the results of the arithmetic operations executed on the same... 

    Power efficient nanophotonic on-chip network for future large scale multiprocessor architectures

    , Article Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011, 8 June 2011 through 9 June 2011, San Diego, CA ; 2011 , Pages 114-121 ; 9781457709944 (ISBN) Koohi, S ; Hessabi, S ; Sharif University of Technology
    2011
    Abstract
    This paper proposes new architectures for data and control planes in a nanophotonic networks-on-chip (NoC) with the key advantages of scalability to large scale networks, constant node degree, and simplicity. Moreover, we propose a minimal deterministic routing algorithm for the data network which leads to small and simple photonic switches. Built upon the proposed novel topology, we present a scalable all-optical NoC, referred to as 2D-HERT, which offers passive routing of optical data streams based on their wavelengths. Utilizing wavelength routing method, Wavelength Division Multiplexing (WDM) technique, and a new all-optical control architecture, our proposed optical NoC eliminates the... 

    An optical wavelength switching architecture for a high-performance low-power photonic NoC

    , Article Proceedings - 25th IEEE International Conference on Advanced Information Networking and Applications Workshops, WAINA 2011, 22 March 2011 through 25 March 2011 ; March , 2011 , Pages 1-6 ; 9780769543383 (ISBN) Koohi, S ; Shafaei, A ; Hessabi, S ; Sharif University of Technology
    2011
    Abstract
    The paper proposes a scalable wavelength-switched optical NoC, named as SWS-ONoC. The proposed architecture is built upon a novel all-optical router which passively routes optical data streams based on their wavelengths. Utilizing wavelength routing method, SWS-ONoC eliminates electrical transactions for optical resource reservation and hence, reduces latency and area overheads of the electrical units. The proposed architecture benefits from Wavelength Division Multiplexing (WDM) scheme to efficiently route multicast optical packets concurrent with unicast data streams. Performing a series of simulation-based experiments, we study efficiency of the proposed architecture, its power and energy... 

    Scalable architecture for wavelength-switched optical NoC with multicasting capability

    , Article Proceedings - 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2010, 1 September 2010 through 3 September 2010, Lille ; 2010 , Pages 398-403 ; 9780769541716 (ISBN) Koohi, S ; Shafaei, A ; Hessabi, S ; Sharif University of Technology
    2010
    Abstract
    This paper proposes a novel all-optical router as a building block for a scalable wavelength-switched optical NoC. The proposed optical router, named as AOR, performs passive routing of optical data streams based on their wavelengths. Utilizing wavelength routing method, AOR eliminates the need for electrical resource reservation and the corresponding latency and area overheads. Taking advantage of Wavelength Division Multiplexing (WDM) technique, the proposed architecture is capable of data multicasting, concurrent with unicast data transmission, with high bandwidth and low power dissipation, without imposing noticeable area and latency overheads. Comparing AOR against previously proposed... 

    A Micro-FT-UART for safety-critical SoC-based applications

    , Article International Conference on Availability, Reliability and Security, ARES 2009, Fukuoka, Fukuoka Prefecture, 16 March 2009 through 19 March 2009 ; 2009 , Pages 316-321 ; 9780769535647 (ISBN) Razmkhah, M. H ; Miremadi, S. G ; Ejlali, A. I ; Sharif University of Technology
    2009
    Abstract
    This paper presents the design of a fault-tolerant universal asynchronous receiver transmitter (UART) called micro-FT-UART for safety-critical SoC-based applications. This UART exploits advantages of three fault-tolerant techniques to tolerate soft errors. The three techniques are triple modular redundancy (TMR), Hamming code and a new technique called correction by parity storing (CPS). An VHDL model of a micro-UART is simulated by the ModelSim v.6.0 and synthesized by the Synopsys Design Compiler v.X-2005.09- SP2. About 1000 single-bit errors and 1000 multiple-bit errors are injected into different parts of the micro-UART to find out the error sensitivity of each specific part. Considering... 

    A low power SRAM based on five transistors cell

    , Article 13th International Computer Society of Iran Computer Conference on Advances in Computer Science and Engineering, CSICC 2008, Kish Island, 9 March 2008 through 11 March 2008 ; Volume 6 CCIS , 2008 , Pages 679-688 ; 18650929 (ISSN); 3540899847 (ISBN); 9783540899846 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Sharif University of Technology
    2008
    Abstract
    This paper proposes a low power SRAM based on five transistor SRAM cell. Proposed SRAM uses novel word-line decoding such that, during a read/write operation, only selected cell is connected to bit-line when one row is selected whereas, in conventional SRAM (CV-SRAM), all cells in selected row connected to their bit-lines, which in turn develops differential voltages across all bit-lines, and this makes energy consumption on unselected bit-lines. Proposed SRAM uses one bit-line and thus has lower bit-line leakage compared to CV-SRAM. Furthermore, the proposed SRAM incurs no area overhead, and has comparable read/write performance versus the CV-SRAM. Simulation results in standard 0.25μm CMOS...