Loading...
Search for: chip-system
0.012 seconds

    Analysis, Evaluation and Improving the Performance and Power consumption of Mapping and Scheduling algorithms in Network on Chip

    , M.Sc. Thesis Sharif University of Technology Rajaei, Ramin (Author) ; Vosoughi Vahdat, Bijan (Supervisor) ; Hessabi, Shaahin (Supervisor)
    Abstract
    According to Moor’s law, the number of transistors per chip would double every 1.5 years. It means that the number of processors, memory and hardware cores available on the chip also increases. In SoC, a number of IP cores and communication links or buses are integrated on a chip. According to inefficiency of the interconnection bus used in SoCs for a large number of processors, NoC has been introduced in the beginning of the current decade. In the NoC paradigm a router-based network is used for packet switched on-chip communication among cores. A typical NoC architecture will provide a scalable communication infrastructure for interconnecting cores. One of the most important features of... 

    Design and Fabrication of Microfluidic System to Produce Microgel for Drug Delivery Application

    , M.Sc. Thesis Sharif University of Technology Shieh, Hamed (Author) ; Bastani, Dariush (Supervisor) ; Saadatmand, Maryam (Supervisor) ; Eskandari, Mahnaz (Supervisor)
    Abstract
    Due to the increasing need of use of drugs and insufficient efficiency of conventional methods, drug delivery systems has gathered a lot of interests. There are different systems existing for controlled drug delivery, among which is implementation of hydrogel microparticles (microgels) as carriers with high potential. The most important factor in these systems is size distribution of drug-carrying microgels and a narrow size distribution leads to a controlled and suitable release. Due to unique characteristics of microfluidic systems, significant focus has been placed on them nowadays for production of microgels with suitable morphology and narrow size distribution. Therefore, in this study... 

    Analysis of Router Architecture on Efficiency and Power Consumption of NoCs

    , M.Sc. Thesis Sharif University of Technology Najjari, Noushin (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Networks on Chip have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of IP cores (or processing elements) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores on to the tiles of chips. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs on to the tiles of the network. Different mapping algorithms have been proposed for Network on Chips which allocate a set of Intellectual Properties (IPs) to determined network topologies. In these mapping... 

    Power Reduction Through Efficient Serial Transmission in NoCs

    , M.Sc. Thesis Sharif University of Technology Bonakdar, Hojjat (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    With progress in integrated circuits technology, on chip systems have become operational, and after that, onchip network are as solutions to improve onchip connections and also its scalability. With improving technology, the number of cores on chips can be more, that it causes increasing importance of produced problems by parallel links. Serial links are one of the methods to decrease these problems. Serial links have some advantages in compare with parallel links in some aspects like: clock pulse skew, cross talk, area cost, difficulties in wiring and synchronizing clock pulse signals. But any way, problems such as high operational frequency and complicated serializer and deserializer... 

    Crosstalk Fault Modeling and Mitigation in System-on-Chips

    , M.Sc. Thesis Sharif University of Technology Shafaei Moghaddam, Mansour (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Use of nano-scale VLSI technologies in the fabrication of System-on-Chips (SoCs) makes reliability as one of the major issues in the design and implementation of these products. SoCs are susceptible to several fault sources such as crosstalks, cosmic particle strikes, electromagnetic interferences, and power supply disturbances. Among the mentioned fault sources, crosstalks have the major contribution in threatening the reliability of SoCs. This thesis addresses the modeling and mitigation of crosstalk faults. In this regard, two analytical models and three crosstalk reduction methods have been introduced. The proposed analytical models, which have been introduced in the fourth chapter of... 

    Assertion-based debug infrastructure for SoC designs

    , Article 19th International Conference on Microelectronics, ICM, Cairo, 29 December 2007 through 31 December 2007 ; 2007 , Pages 137-140 ; 9781424418473 (ISBN) Gharehbaghi, A.M ; Babagoli, M ; Hessabi, S ; Sharif University of Technology
    2007
    Abstract
    In this paper, an infrastructure for debug of complex SoCs that employs assertions is introduced. The proposed infrastructure combines traditional off-chip analysis techniques with on-chip at-speed debug facilities. The main part of on-chip debug hardware consists of data and transaction monitors. The monitor hardware is automatically generated by synthesizing the assertions that were used for verification and validation before manufacturing. We have integrated the proposed method in a system-level design methodology. By synthesizing various assertions from different kinds in a case study we have studied the overhead of our method. © 2007 IEEE  

    An empirical investigation of mesh and torus NoC topologies under different routing algorithms and traffic models

    , Article 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, DSD 2007, Lubeck, 29 August 2007 through 31 August 2007 ; October , 2007 , Pages 19-26 ; 076952978X (ISBN); 9780769529783 (ISBN) Mirza Aghatabar, M ; Koohi, S ; Hessabi, S ; Pedram, M ; Sharif University of Technology
    2007
    Abstract
    NoC is an efficient on-chip communication architecture for SoC architectures. It enables integration of a large number of computational and storage blocks on a single chip. NoCs have tackled the SoCs disadvantages and are scalable. In this paper, we compare two popular NoC topologies, i.e., mesh and torus, in terms of different figures of merit e.g., latency, power consumption, and power/throughput ratio under different routing algorithms and two common traffic models, uniform and hotspot. To the best of our knowledge, this is the first effort in comparing mesh and torus topologies under different routing algorithms and traffic models with respect to their performance and power consumption.... 

    Performance Evaluation of Recovery Based Routing Algorithms in Irregular Mesh NoCs

    , M.Sc. Thesis Sharif University of Technology Hosseingholi, Mahdieh (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Heterogeneity is one of the challenges in the current NoC (Network-on-Chip) domain which oblige designers to consider less regular topologies to provide the best cost-performance trade-off while minimizing resource and power consumption and providing the maximum flexibility. Irregular mesh is a topology which combines the benefits of regularity and advantage of irregularity. Another important issue in any NoC is the selection of routing algorithm which provides the best performance. Routing algorithms especially those coupled with wormhole switching should deal with deadlock occurrences. Deadlock detection and recovery-based routing schemes for this type of switching gained attraction since... 

    SRAM Cell Design for Low Power Applications

    , M.Sc. Thesis Sharif University of Technology Ganji, Mona (Author) ; Haj Sadeghi, Khosrow (Supervisor)
    Abstract
    From the cache of the personal computers to the main memory unit of SOCs, medical and wearable chips, Static Random Access Memory (SRAM) is widely utilizes. Preferable performance for SRAM varies with regard to the operating field. For instance, high speed access and performance is emphasized in the design of the cache for PCs. In contrast, power consumption and the area of the memory are the key design considerations for SOCs. Hence, the field in which SRAM is used, should be thoroughly studied. SOCs and medical chips suffer limitations in design due to using batteries as the source of energy and SRAMs consume a significant part of total power and occupy a large area on these chips. One of... 

    Performance Evaluation of Deadlock Avoidance Based Routing Algorithms in Irregular Mesh NoCs

    , M.Sc. Thesis Sharif University of Technology Mahdavinia, Parisa (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    It is now possible to integrate hundreds of modules (e.g. processors, memories) in a single silicon die. Handling the communication requirements between such modules by interconnecting them using shared buses is not possible from the performance point of view. One of the solutions is to use a network-on-chip (NoC) based communication infrastructure. NoCs were shown to be effective for solving the global interconnection problem among modules. These architectures emphasize the separation between computing and communication, and guarantee a good degree of design reuse and scalability. Mesh topology is favored by many researchers as the topology of NoCs because of its layout efficiency.... 

    A fully integrated 0.18-μm CMOS transceiver chip for X-band phased-array systems

    , Article IEEE Transactions on Microwave Theory and Techniques ; Volume 60, Issue 7 , 2012 , Pages 2192-2202 ; 00189480 (ISSN) Gharibdoust, K ; Mousavi, N ; Kalantari, M ; Moezzi, M ; Medi, A ; Sharif University of Technology
    Abstract
    An X-band core chip is designed and fabricated in 0.18-μm CMOS technology, which can significantly reduce the monolithic microwave integrated circuit count required for realizing an active beam-former T/R module. The core chip consists of two RX/TX paths, each of which includes a 6-b phase shifter, a 6-b attenuator, along with two input and output amplifiers. A new architecture for realizing such a core chip system and a low loss circuit for 5.625° phase shift block are proposed. The overall rms phase and gain errors are better than 2° and 0.25 dB, respectively, in both RX/TX paths. The gain of each path is around 12 dB, while the output 1-dB compression point is higher than 10 dBm over the... 

    Numerical investigation on the effect of external varying magnetic field on the mixing of ferrofluid with deionized water inside a microchannel for lab-on-chip systems

    , Article Energy Sources, Part A: Recovery, Utilization and Environmental Effects ; 2020 Saadat, M ; Ghassemi, M ; Shafii, M. B ; Sharif University of Technology
    Taylor and Francis Inc  2020
    Abstract
    Energy-efficient mixing is vital for chemical and fuel processes. To this end, a flow-focusing configuration is proposed to investigate the effect of a uniform magnetic field on the mixing of a water-based ferrofluid with two streams of deionized water. An external and varying magnetic field is imposed on a straight microchannel, and the mixing between the ferrofluid and deionized waters is qualitatively and quantitatively measured. A commercial code based on the finite-element method is used, and the simulations are validated by two experimental studies in the literature. For a magnetic flux density of 10 mT, a signal frequency of 1 Hz, a duty cycle of 0.3, an inlet velocity of 500 µm/s,... 

    Sequential equivalence checking using a hybrid boolean-word level decision diagram

    , Article 13th International Computer Society of Iran Computer Conference on Advances in Computer Science and Engineering, CSICC 2008, Kish Island, 9 March 2008 through 11 March 2008 ; Volume 6 CCIS , 2008 , Pages 697-704 ; 18650929 (ISSN); 3540899847 (ISBN); 9783540899846 (ISBN) Alizadeh, B ; Fujita, M ; Sharif University of Technology
    2008
    Abstract
    By increasing the complexity of system on a chip (SoC) formal equivalence checking has become more and more important and a major economical issue to detect design faults at early stages of the design cycle in order to reduce time-to-market as much as possible. However, lower level methods such as BDDs and SAT solvers suffer from memory and computational explosion problems to match sizes of industrial designs in formal equivalence verification. In this paper, we describe a hybrid bit- and word-level canonical representation called Linear Taylor Expansion Diagram (LTED) [1] which can be used to check the equivalence between two descriptions in different levels of abstractions. To prove the... 

    Engineered Biomimetic Membranes for Organ-on-a-Chip

    , Article ACS Biomaterials Science and Engineering ; Volume 8, Issue 12 , 2022 , Pages 5038-5059 ; 23739878 (ISSN) Rahimnejad, M ; Rasouli, F ; Jahangiri, S ; Ahmadi, S ; Rabiee, N ; Ramezani Farani, M ; Akhavan, O ; Asadnia, M ; Fatahi, Y ; Hong, S ; Lee, J ; Lee, J ; Hahn, S. K ; Sharif University of Technology
    American Chemical Society  2022
    Abstract
    Organ-on-a-chip (OOC) systems are engineered nanobiosystems to mimic the physiochemical environment of a specific organ in the body. Among various components of OOC systems, biomimetic membranes have been regarded as one of the most important key components to develop controllable biomimetic bioanalysis systems. Here, we review the preparation and characterization of biomimetic membranes in comparison with the features of the extracellular matrix. After that, we review and discuss the latest applications of engineered biomimetic membranes to fabricate various organs on a chip, such as liver, kidney, intestine, lung, skin, heart, vasculature and blood vessels, brain, and multiorgans with... 

    Application-aware topology reconfiguration for on-chip networks

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 19, Issue 11 , 2011 , Pages 2010-2022 ; 10638210 (ISSN) Modarressi, M ; Tavakkol, A ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we present a reconfigurable architecture for networks-on-chip (NoC) on which arbitrary application-specific topologies can be implemented. When a new application starts, the proposed NoC tailors its topology to the application traffic pattern by changing the inter-router connections to some predefined configuration corresponding to the application. It addresses one of the main drawbacks of the existing application-specific NoC optimization methods, i.e., optimization of NoCs based on the traffic pattern of a single application. Supporting multiple applications is a critical feature of an NoC when several different applications are integrated into a single modern and complex... 

    Efficient genetic based topological mapping using analytical models for on-chip networks

    , Article Journal of Computer and System Sciences ; Volume 79, Issue 4 , 2013 , Pages 492-513 ; 00220000 (ISSN) Arjomand, M ; Amiri, S. H ; Sarbazi Azad, H ; Sharif University of Technology
    2013
    Abstract
    Network-on-Chips are now the popular communication medium to support inter-IP communications in complex on-chip systems with tens to hundreds IP cores. Higher scalability (compared to the traditional shared bus and point-to-point interconnects), throughput, and reliability are among the most important advantages of NoCs. Moreover, NoCs can well match current CAD methodologies mainly relying on modular and reusable structures with regularity of structural pattern. However, since NoCs are resource-limited, determining how to distribute application load over limited on-chip resources (e.g. switches, buffers, virtual channels, and wires) in order to improve the metrics of interest and satisfy...