Loading...
Search for: crosstalk-fault
0.006 seconds

    Soft Error & Crosstalk Fault Mitigation in Network-On-Chips

    , Ph.D. Dissertation Sharif University of Technology Patooghy, Ahmad (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Recent advances in VLSI technologies have enabled current silicon dies to accommodate billions of transistors in the design of very complex System-on-Chips (SoCs). To address the resulting complexity, Network-on-Chips (NoCs) have emerged as a paradigm to design scalable communication architecture to connect the processing cores of an SoC. However, smaller feature sizes, lower voltage levels and higher frequencies in Deep Sub-Micron (DSM) technologies make NoCs highly susceptible to transient faults, e.g., crosstalks, particle strikes, electro-magnetic interferences, and power supply disturbances. Single Event Upsets (SEUs) caused by high energy particle strikes as well as crosstalks are the... 

    Crosstalk Fault Treatment in NoCs Using Data Manipulation

    , Ph.D. Dissertation Sharif University of Technology Shirmohammadi, Zahra (Author) ; Miremadi, Ghasem (Supervisor)
    Abstract
    Recent advances in Very-Large-Scale Integration (VLSI) technologies have enabled designers to integrate a large number of Processing Elements (PEs) on a single die. According to International Technology Roadmap for Semiconductors (ITRS), the number of PEs will reach 5000 on a single die in 2021. Although the main achievements of such rapid advancement in chips are high processing speed, shrinkage of technology size has made chips highly sensitive to different challenges. Networks on chip (NoCs), as an example of these systems, are not exempted from these challenges. Crosstalk fault is one of the major fault resources in NoCs. Crosstalk faults occur due to coupling capacitances between... 

    Reliability Improvement in Network on Chips against Crosstalk Fault Considering Five-Wire Latency Model

    , M.Sc. Thesis Sharif University of Technology Mahdavi, Zeinab (Author) ; Miremadi, Ghasem (Supervisor)
    Abstract
    One of the major challenges that threat the reliability of NoC-based systems is Crosstalk fault. Some effects of crosstalk fault such as Rising/falling delays and rising/falling speed-ups lead to the variations in channel delay, incorrect data transmission, and extra power consumption in NoC communication channels. Crosstalk fault is data dependent and the intensity of this fault seriously depends on the transition patterns appearing on the wire during the data traversal between processing elements. Most of mechanisms tackling crosstalk fault that are discussed in literature are based on 3-wire delay model. In 3-wire delay model, one wire is considered as victim wire and classification of... 

    Crosstalk Fault Modeling and Mitigation in System-on-Chips

    , M.Sc. Thesis Sharif University of Technology Shafaei Moghaddam, Mansour (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Use of nano-scale VLSI technologies in the fabrication of System-on-Chips (SoCs) makes reliability as one of the major issues in the design and implementation of these products. SoCs are susceptible to several fault sources such as crosstalks, cosmic particle strikes, electromagnetic interferences, and power supply disturbances. Among the mentioned fault sources, crosstalks have the major contribution in threatening the reliability of SoCs. This thesis addresses the modeling and mitigation of crosstalk faults. In this regard, two analytical models and three crosstalk reduction methods have been introduced. The proposed analytical models, which have been introduced in the fourth chapter of... 

    Addressing NoC reliability through an efficient fibonacci-based crosstalk avoidance codec design

    , Article Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 18 November 2015 through 20 November 2015 ; Volume 9530 , 2015 , Pages 756-770 ; 03029743 (ISSN); 9783319271361 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Wang, G ; Perez, G. M ; Zomaya, A ; Li, K ; Sharif University of Technology
    Springer Verlag  2015
    Abstract
    The reliable transfer in Network on Chips (NoCs) can be threatened by crosstalk fault occurring in wires. Crossstalk fault is due to inter-wire coupling capacitance that based on the patterns of transitions appearing on the wires, significantly limits the reliability of NoCs. Among these transitions, 101 and 010 bit patterns impose the worst crosstalk effects to wires. This work intends to increase the reliability of NoCs against crosstalk faults by applying an improved Fibonacci-based numeral system, called Doubled-Penultimate Fibonacci (DP-Fibo). In the DP-Fibo coding algorithm, code words without ‘101’ and ‘010’ bit patterns are produced to reduce crosstalk faults. Experimental results... 

    3D-DPS: An efficient 3D-CAC for reliable data transfer in 3D ICs

    , Article Proceedings - 2016 12th European Dependable Computing Conference, EDCC 2016, 5 September 2016 through 9 September 2016 ; 2016 , Pages 97-107 ; 9781509015825 (ISBN) Shirmohammadi, Z ; Rohbani, N ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Migration to Three Dimensional Integrated Circuits (3D ICs) can provide higher scalability, higher throughput, and lower power consumption with respect to Two Dimensional Integrated Circuits (2D ICs). Also, the latency bottleneck of interconnections in 2D ICs is efficiently solved in 3D ICs. This is due to the use of Through-Silicon-Vias (TSVs) in the communication structure of 3D ICs. TSVs are among the efficient fabrication mechanisms that connect stacked layers in 3D ICs. However, proximity and large size of TSVs make them highly prone to crosstalk faults. Crosstalk faults can cause mutual undesired influences between TSVs and thus seriously threat the reliability of data transfer on... 

    ACM: Accurate crosstalk modeling to predict channel delay in Network-on-Chips

    , Article 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design, IOLTS 2016, 4 July 2016 through 6 July 2016 ; 2016 , Pages 7-8 ; 9781509015061 (ISBN) Mahdavi, Z ; Shirmohammadi, Z ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    The severity of timing delay in the communication channels of Network on Chip (NoC) depends on the transition patterns appearing on the wires. An analytical model can estimate the timing delay in NoC channels in the presence of crosstalk faults. However, recently proposed analytical model does not have enough accuracy and is based on 3-wire delay model. In this paper, an Accurate Crosstalk Model (ACM) based on 5-wire delay model is proposed to estimate the delay of communication channels in the presence of crosstalk faults. ACM is more accurate due to considering more wires in the delay model and also considering the overlaps between locations of transition patterns  

    AM3D: An accurate crosstalk probability modeling to predict channel delay in 3D ICs

    , Article Microelectronics Reliability ; Volume 102 , 2019 ; 00262714 (ISSN) Shirmohammadi, Z ; Nikoofard, A ; Ershadi, G ; Sharif University of Technology
    Elsevier Ltd  2019
    Abstract
    Migration from Two Dimensional Integrated Circuits (2D ICs) to Three Dimensional Integrated Circuits (3D ICs) reduces the delay due to the shorter wire length between sender and receiver. However, Through-Silicon-Vias (TSVs) that connect layers in the structure of 3D ICs can seriously increase the delay due to capacitance coupling between TSVs and lead to crosstalk fault. The severity of crosstalk faults depends on transitions appearing on TSVs that is called transition patterns. To propose an efficient crosstalk tackling mechanisms in 3D ICs, an accurate probability analytical model is required to predict the delay caused by TSVs (3D ICs) in the attendance of these transition patterns. In... 

    Reliability Improvement in 3D Network-on-chips Against Crosstalk Fault

    , M.Sc. Thesis Sharif University of Technology Mirosanlou, Reza (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Technology node scaling in recent decades ushered in gate delay cut-off and rise of interconnection latency. Hence, interconnects have become a major performance bottleneck of high performance system-on-chips (SoC) and integrated circuits (IC). In addition, interconnectiosns have become more susceptible to noises in particular crosstalk. On the other hand, the advent of multi-core processors with ever increasing number of cores has highlighted the need for fast and reliable interconnections. One of the potential solutions to alleviate the interconnection delay problem is the three dimensional integration using through-silicon vias (TSV). Vertical integration of IC dies using TSVs offers high... 

    Using binary-reflected gray coding for crosstalk mitigation of network on chip

    , Article Proceedings - 17th CSI International Symposium on Computer Architecture and Digital Systems, CADS 2013 ; 2013 , Pages 81-86 ; 9781479905621 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Sharif University of Technology
    IEEE Computer Society  2013
    Abstract
    This paper proposes an efficient crosstalk mitigation method for Network-on-Chips. This method uses the binary-reflected Gray coding to send the proper code word into a channel. As the Gray code has reflective and unit distance properties, based on these facts, content of every flit is selected so that to minimize the number of forbidden transition patterns in the channel. A VHDL-based simulation is carried out for several channel widths. Simulation results show that the proposed method reduces the forbidden transitions up to 26% and can save power in NoC links  

    A reliable and power efficient flow-control method to eliminate crosstalk faults in network-on-chips

    , Article Microprocessors and Microsystems ; Volume 35, Issue 8 , 2011 , Pages 766-778 ; 01419331 (ISSN) Patooghy, A ; Miremadi, S. G ; Tabkhi, H ; Sharif University of Technology
    Abstract
    This paper proposes a power-efficient flow-control method to tackle the problem of crosstalk faults in Network-on-Chips (NoCs). The method, called FRR (Flit Reordering/Rotation), combines three coding mechanisms to entirely eliminate opposite direction transitions (OD transitions) as the source of crosstalk faults in NoC communication channels. The first mechanism, called flit-reordering, reorders flits of every packet to find a flit sequence which produces the lowest number of OD transitions on NoC channels. The second mechanism called flit-rotation, logically rotates the content of every flit of the packet with respect to previously sent flit to achieve even more reduction in the number of... 

    PAM: A packet manipulation mechanism for mitigating crosstalk faults in NoCs

    , Article Proceedings - 15th IEEE International Conference on Computer and Information Technology, CIT 2015, 14th IEEE International Conference on Ubiquitous Computing and Communications, IUCC 2015, 13th IEEE International Conference on Dependable, Autonomic and Secure Computing, DASC 2015 and 13th IEEE International Conference on Pervasive Intelligence and Computing, PICom 2015, 26 October 2015 through 28 October 2015 ; October , 2015 , Pages 1895-1902 ; 9781509001545 (ISBN) Shirmohammadi, Z ; Ansari, M ; Abharian, S. K ; Safari, S ; Miremadi, S. G ; Atzori L ; Jin X ; Jarvis S ; Liu L ; Calvo R. A ; Hu J ; Min G ; Georgalas N ; Wu Y ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    This paper proposes an efficient mechanism that mitigates crosstalk faults in Network-on-Chips (NoCs). This is done by using a Packet Manipulating mechanism called PAM for reliable data transfer of NoCs. PAM investigates the transitions of a packet to minimize the forbidden transition patterns appearing during the flit traversal in NoCs. To do this, the content of a packet is manipulated using three different manipulating mechanisms. In other words, PAM manipulates the content of packet in three manipulating modes including: vertical, horizontal and diagonal modes. Then, comparing the transitions of these manipulating mechanisms, a packet with minimum numbers of transitions is selected to be... 

    Crosstalk modeling to predict channel delay in Network-on-Chips

    , Article Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, 3 October 2010 through 6 October 2010 ; October , 2010 , Pages 396-401 ; 10636404 (ISSN) ; 9781424489350 (ISBN) Patooghy, A ; Miremadi, S. G ; Shafaei, M ; Sharif University of Technology
    2010
    Abstract
    Communication channels in Network-on-Chips (NoCs) are highly susceptible to crosstalk faults due to the use of nano-scale VLSI technologies in the fabrication of NoCs. Crosstalk faults cause variable timing delay in NoC channels based on the patterns of transitions appearing on the channels. This paper proposes an analytical model to estimate the timing delay of an NoC channel in the presence of crosstalk faults. The model calculates expected number of 4C, 3C, 2C, and 1C transition patterns to predict delay of a K-bit communication channel. The model is applicable for both non-protected channels and channels which are protected by crosstalk mitigation methods. Spice simulations are done in a... 

    FiRot: An efficient crosstalk mitigation method for Network-on-Chips

    , Article Proceedings - 16th IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 2010, 13 December 2010 through 15 December 2010 ; December , 2010 , Pages 55-61 ; 9780769542898 (ISBN) Patooghy, A ; Shafaei, M ; Miremadi, S. G ; Falahati, H ; Taheri, S ; Sharif University of Technology
    2010
    Abstract
    This paper proposes an efficient crosstalk mitigation method for Network-on-Chips (NoCs). The proposed method investigates flits in each packet to minimize the number of harmful transition patterns appearing on the communication channels of NoC. To do this, the content of every flit is rotated with respect to the previously flit sent through the channel. Rotation is done to find a rotated version of the flit which minimizes the number of harmful transition patterns. A tag field is added into the rotated flit to enable the receiving side to recover the original flit. Maximum number of rotations is bounded by a fixed value to minimize the timing and power overheads of the proposed method.... 

    ST-CAC: a low-cost crosstalk avoidance coding mechanism based on three-valued numerical system

    , Article Journal of Supercomputing ; Volume 77, Issue 7 , 2021 , Pages 6692-6713 ; 09208542 (ISSN) Shirmohammadi, Z ; Khorami, A ; Omana, M. E ; Sharif University of Technology
    Springer  2021
    Abstract
    Appearances of specific transition patterns during data transfer in bus lines of modern high-performance computing systems, such as communicating structures of accelerators for deep convolutional neural networks, commercial Network on Chips, and memories, can lead to crosstalk faults. With the shrinkage of technology size, crosstalk faults occurrence boosts and leads to degradation of reliability and performance, as well as the increasing power consumption of lines. One effective way to alleviate crosstalk faults is to avoid the appearance of these specific transition patterns by using numerical-based crosstalk avoidance codes (CACs). However, a serious problem with numerical-based CACs is... 

    Numeral-based crosstalk avoidance coding to reliable NoC design

    , Article Proceedings - 2011 14th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2011 ; 2011 , Pages 55-62 ; 9780769544946 (ISBN) Shafaei, M ; Patooghy, A ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    This paper proposes a Numeral-Based Crosstalk Avoidance Coding (NB-CAC) to protect communication channels of Network-on-Chips (NoCs) against crosstalk faults. The NB-CAC scheme produces codewords without bit patterns '101' and '010' to eliminate harmful transition patterns from NoC channels. This is done by the use of a new numeral system proposed in the paper. Using the proposed numeral system, the NB-CAC scheme 1) can be utilized in NoC channels with any arbitrary width, and 2) can be implemented with low area, power, and timing overheads. VHDL and SPICE simulations have been carried out for a wide range of channel widths to evaluate delay, area, and power consumption of the NB-CAC codecs.... 

    S2AP: An efficient numerical-based crosstalk avoidance code for reliable data transfer of NoCs

    , Article 10th International Symposium on Reconfigurable and Communication-centric Systems-on-Chip,, 29 June 2015 through 1 July 2015 ; June , 2015 , Page(s): 1 - 6 ; 9781467379427 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Janssen K ; DFG ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Data traversal in Network-on-Chips (NoCs) is threated by crosstalk fault seriously. Crosstalk fault leads to mutual influence between adjacent wires of NoCs and as a result endangers the reliability of data in NoCs. Crosstalk fault is strongly dependent on the transition patterns appearing on the wires of NoCs. Among these transitions, Triplet Opposite Directions (TODs) impose the worse crosstalk effects to the wires of NoCs. This paper proposes an efficient numerical-based coding mechanism called Summation-based-Subtracted-Added-Penultimate (S2AP) which alleviates crosstalk faults. This is done by completely removing TODs which are the main source of crosstalk faults in the channels of... 

    An efficient method to reliable data transmission in Network-on-Chips

    , Article Proceedings - 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, DSD 2010, 1 September 2010 through 3 September 2010, Lille ; 2010 , Pages 467-474 ; 9780769541716 (ISBN) Patooghy, A ; Tabkhi, H ; Miremadi, S. G ; Sharif University of Technology
    2010
    Abstract
    Data transmission in Network-on-Chips (NoCs) is a serious problem due to crosstalk faults happening in adjacent communication links. This paper proposes an efficient flow-control method to enhance the reliability of packet transmission in Network-on-Chips. The method investigates the opposite direction transitions appearing between flits of a packet to reorder the flits in the packet. Flits are reordered in a fixed-size window to reduce: 1) the probability of crosstalk occurrence, and 2) the total power consumed for packet delivery. The proposed flow-control method is evaluated by a VHDL-based simulator under different window sizes and various channel widths. Simulation results enable NoC...