Loading...
Search for: dynamic-frequency-scaling
0.011 seconds

    Simultaneous management of peak-power and reliability in heterogeneous multicore embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 31, Issue 3 , 2020 , Pages 623-633 Ansari, M ; Saber Latibari, J ; Pasandideh, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Analysis of reliability, power, and performance at hardware and software levels due to heterogeneity is a crucial requirement for heterogeneous multicore embedded systems. Escalating power densities have led to thermal issues for heterogeneous multicore embedded systems. This paper proposes a peak-power-aware reliability management scheme to meet power constraints through distributing power density on the whole chip such that reliability targets are satisfied. In this paper, we consider peak power consumption as a system-level power constraint to prevent system failure. To balance the power consumption, we also employ a Dynamic Frequency Scaling (DFS) method to further reduce peak power... 

    A low-waste reliable adiabatic platform

    , Article Computers and Electrical Engineering ; Volume 89 , 2021 ; 00457906 (ISSN) Narimani, R ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    Elsevier Ltd  2021
    Abstract
    Given the importance of reducing energy consumption and the challenge of heat generation in classic CMOS circuits, adiabatic circuits are believed as an appropriate alternative. Most of the adiabatic circuit families come with a dual-rail structure, which provides them with an inherent hardware redundancy. Although this redundancy could be used for improving their reliability, no studies have been previously conducted to exploit this feature. In this regard, in this paper, we show that by exploiting the inherent hardware redundancy in adiabatic circuits, their reliability could be improved, while imposing a relatively low amount of energy overhead. Subsequently, with utilizing the outcome... 

    Power-Aware runtime scheduler for mixed-criticality systems on multicore platform

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 40, Issue 10 , 2021 , Pages 2009-2023 ; 02780070 (ISSN) Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    In modern multicore mixed-criticality (MC) systems, a rise in peak power consumption due to parallel execution of tasks with maximum frequency, specially in the overload situation, may lead to thermal issues, which may affect the reliability and timeliness of MC systems. Therefore, managing peak power consumption has become imperative in multicore MC systems. In this regard, we propose an online peak power and thermal management heuristic for multicore MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and per-cluster dynamic voltage and frequency scaling (DVFS). Specifically, our approach examines... 

    Stretch: Exploiting service level degradation for energy management in mixed-criticality systems

    , Article CSI Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2015, 7 October 2015 through 8 October 2015 ; October , 2015 , Page(s): 1 - 8 ; 9781467380478 (ISBN) Taherin, A ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Mixed-criticality systems are introduced due to industrial interest to integrate different types of functionalities with varying importance into a common and shared computing platform. Low-energy consumption is vital in mixed-criticality systems due to their ever-increasing computation requirements and the fact that they are mostly supplied with batteries. In case when high-criticality tasks overrun in such systems, low-criticality tasks can be whether ignored or degraded to assure high-criticality tasks timeliness. We propose a novel energy management method (called Stretch), which lowers the energy consumption of mixed-criticality systems with the cost of degrading service level of... 

    A hardware platform for evaluating low-energy multiprocessor embedded systems based on COTS devices

    , Article IEEE Transactions on Industrial Electronics ; Volume 62, Issue 2 , 2015 , Pages 1262-1269 ; 02780046 (ISSN) Salehi, M ; Ejlali, A ; Sharif University of Technology
    Abstract
    Embedded systems are usually energy constrained. Moreover, in these systems, increased productivity and reduced time to market are essential for product success. To design complex embedded systems while reducing the development time and cost, there is a great tendency to use commercial off-the-shelf ("COTS") devices. At system level, dynamic voltage and frequency scaling (DVFS) is one of the most effective techniques for energy reduction. Nonetheless, many widely used COTS processors either do not have DVFS or apply DVFS only to processor cores. In this paper, an easy-to-implement COTS-based evaluation platform for low-energy embedded systems is presented. To achieve energy saving, DVFS is... 

    Performance and power modeling and evaluation of virtualized servers in IaaS clouds

    , Article Information Sciences ; Volume 394-395 , 2017 , Pages 106-122 ; 00200255 (ISSN) Entezari Maleki, R ; Sousa, L ; Movaghar, A ; Sharif University of Technology
    Elsevier Inc  2017
    Abstract
    In this paper, Stochastic Activity Networks (SANs) are exploited to model and evaluate the power consumption and performance of virtualized servers in cloud computing. The proposed SAN models the physical servers in three different power consumption and provisioning delay modes, switching the status of the servers according to the workload of the corresponding cluster if required. The Dynamic Voltage and Frequency Scaling (DVFS) technique is considered in the proposed model for dynamically controlling the supply voltage and clock frequency of CPUs. Thus, Virtual Machines (VMs) on top a physical server can be divided into several power consumption and processing speed groups. According to the... 

    Online peak power and maximum temperature management in multi-core mixed-criticality embedded systems

    , Article 22nd Euromicro Conference on Digital System Design, DSD 2019, 28 August 2019 through 30 August 2019 ; 2019 , Pages 546-553 ; 9781728128610 (ISBN) Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this work, we address peak power and maximum temperature in multi-core Mixed-Criticality (MC) systems. In these systems, a rise in peak power consumption may generate more heat beyond the cooling capacity. Additionally, the reliability and timeliness of MC systems may be affected due to excessive temperature. Therefore, managing peak power consumption has become imperative in multi-core MC systems. In this regard, we propose an online peak power management heuristic for multi-core MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and Dynamic Voltage and Frequency Scaling (DVFS). Specifically, our... 

    EATSDCD: A green energy-aware scheduling algorithm for parallel task-based application using clustering, duplication and DVFS technique in cloud datacenters

    , Article Journal of Intelligent and Fuzzy Systems ; Volume 36, Issue 6 , 2019 , Pages 5135-5152 ; 10641246 (ISSN) Barzegar, B ; Motameni, H ; Movaghar, A ; Sharif University of Technology
    IOS Press  2019
    Abstract
    Energy consumption and performance metrics have become critical issues for scheduling parallel task-based applications in high-performance computing systems such as cloud datacenters. The duplication and clustering strategy, as well as Dynamic Voltage Frequency Scaling (DVFS) technique, have separately been concentrated on reducing energy consumption and optimizing performance parameters such as throughput and makespan. In this paper, a dual-phase algorithm called EATSDCD which is an energy efficient time aware has been proposed. The algorithm uses the combination of duplication and clustering strategies to schedule the precedence-constrained task graph on datacenter processors through DVFS.... 

    EATSDCD: A green energy-aware scheduling algorithm for parallel task-based application using clustering, duplication and DVFS technique in cloud datacenters

    , Article Journal of Intelligent and Fuzzy Systems ; Volume 36, Issue 6 , 2019 , Pages 5135-5152 ; 10641246 (ISSN) Barzegar, B ; Motameni, H ; Movaghar, A ; Sharif University of Technology
    IOS Press  2019
    Abstract
    Energy consumption and performance metrics have become critical issues for scheduling parallel task-based applications in high-performance computing systems such as cloud datacenters. The duplication and clustering strategy, as well as Dynamic Voltage Frequency Scaling (DVFS) technique, have separately been concentrated on reducing energy consumption and optimizing performance parameters such as throughput and makespan. In this paper, a dual-phase algorithm called EATSDCD which is an energy efficient time aware has been proposed. The algorithm uses the combination of duplication and clustering strategies to schedule the precedence-constrained task graph on datacenter processors through DVFS.... 

    Peak-power-aware energy management for periodic real-time applications

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 39, Issue 4 , 2020 , Pages 779-788 Ansari, M ; Yeganeh Khaksar, A ; Safari, S ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Two main objectives in designing real-time embedded systems are high reliability and low power consumption. Hardware replication (e.g., standby-sparing) can provide high reliability while keeping the power consumption under control. In this paper, we consider a standby-sparing system where the main tasks on primary cores are scheduled by our proposed peak-power-aware earliest-deadline-first policy while the backup tasks on spare cores are scheduled by our proposed peak-power-aware earliest-deadline-late policy to meet the chip thermal design power (TDP) constraint. These policies provide the best opportunity to shift the task executions as much as possible to minimize execution overlaps... 

    An optimal analytical solution for maximizing expected battery lifetime using the calculus of variations

    , Article Integration ; Volume 71 , March , 2020 , Pages 86-94 Jafari Nodoushan, M ; Ejlali, A ; Sharif University of Technology
    Elsevier B.V  2020
    Abstract
    The exponential growth in the semiconductor industry and hence the increase in chip complexity, has led to more power usage and power density in modern processors. On the other hand, most of today's embedded systems are battery-powered, so the power consumption is one of the most critical criteria in these systems. Dynamic Voltage and Frequency Scaling (DVFS) is known as one of the most effective energy-saving methods. In this paper, we propose the optimal DVFS profile to minimize the energy consumption of a battery-based system with uncertain task execution time under deadline constraints using the Calculus of Variations (CoV). The contribution of this work is to analytically calculate the... 

    Power-Aware run-time scheduler for mixed-criticality systems on multi-core platform

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; 2020 Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    In modern multi-core Mixed-Criticality (MC) systems, a rise in peak power consumption due to parallel execution of tasks with maximum frequency, specially in the overload situation, may lead to thermal issues, which may affect the reliability and timeliness of MC systems. Therefore, managing peak power consumption has become imperative in multi-core MC systems. In this regard, we propose an online peak power and thermal management heuristic for multi-core MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and per-cluster Dynamic Voltage and Frequency Scaling (DVFS). Specifically, our approach examines... 

    LESS-MICS: A low energy standby-sparing scheme for mixed-criticality systems

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 39, Issue 12 , 2020 , Pages 4601-4610 Safari, S ; Hessabi, S ; Ershadi, G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Multicore platforms are becoming the dominant trend in mixed-criticality systems (MCSs). Multicores provide great opportunities to realize task-level redundancy for reliability enhancement. However, they may experience limited utility in battery-powered mixed-criticality embedded systems. Hence, joint energy and reliability management is a crucial issue in designing MCSs. In this article, we propose the low energy standby-sparing mechanism in mixed-criticality system (LESS-MICS) scheme, which uses the inherent redundancy of multicores to apply the standby-sparing technique for fault-tolerance. Also, by using the inherent redundancy, the LESS-MICS scheme proposes the Parallelism and Reduction... 

    Ring- DVFS: reliability-aware reinforcement learning-based DVFS for real-time embedded systems

    , Article IEEE Embedded Systems Letters ; October , 2020 , Page:1-1 Yeganeh Khaksar, A ; Ansari, M ; Safari, S ; Yari Karin, S ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Dynamic Voltage and Frequency Scaling (DVFS) is one of the most popular and exploited techniques to reduce power consumption in multicore embedded systems. However, this technique might lead to a task-reliability degradation because scaling the voltage and frequency increases the fault rate and the worst-case execution time of the tasks. In order to preserve taskreliability at an acceptable level as well as achieving power saving, in this letter, we have proposed an enhanced DVFS method based on reinforcement learning to reduce the power consumption of sporadic tasks at runtime in multicore embedded systems without task-reliability degradation. The reinforcement learner takes decisions based... 

    ReMap: reliability management of peak-power-aware real-time embedded systems through task replication

    , Article IEEE Transactions on Emerging Topics in Computing ; August , 2020 , Pages: 1-1 Yeganeh Khaksar, A ; Ansari, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Increasing power densities in future technology nodes is a crucial issue in multicore platforms. As the number of cores increases in them, power budget constraints may prevent powering all cores simultaneously at full performance level. Therefore, chip manufacturers introduce a power budget constraint as Thermal Design Power (TDP) for chips. Meanwhile, multicore platforms are suitable for implementation of fault-tolerance techniques to achieve high reliability. Task Replication is a known technique to tolerate transient faults. However, careless task replication may lead to significant peak power consumption. In this paper, we consider the problem of achieving a given reliability target... 

    ReMap: Reliability management of peak-power-aware real-time embedded systems through task replication

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 10, Issue 1 , 2022 , Pages 312-323 ; 21686750 (ISSN) Yeganeh-Khaksar, A ; Ansari, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Increasing power densities in future technology nodes is a crucial issue in multicore platforms. As the number of cores increases in them, power budget constraints may prevent powering all cores simultaneously at full performance level. Therefore, chip manufacturers introduce a power budget constraint as Thermal Design Power (TDP) for chips. Meanwhile, multicore platforms are suitable for the implementation of fault-tolerance techniques to achieve high reliability. Task Replication is a well-known technique to tolerate transient faults. However, careless task replication may lead to significant peak power consumption. In this article, we consider the problem of achieving a given reliability...