Loading...
Search for: energy-reduction
0.007 seconds

    Quantifying the difference in resource demand among classic and modern NoC workloads

    , Article Proceedings of the 34th IEEE International Conference on Computer Design, ICCD 2016, 2 October 2016 through 5 October 2016 ; 2016 , Pages 404-407 ; 9781509051427 (ISBN) Mirhosseini, A ; Sadrosadati, M ; Zare, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    This paper quantifies the difference in resource demand between modern and classic NoC workloads. In the paper, we show that modern workloads are able to better utilize higher numbers of VCs and smaller C factors in order to attain performance and energy efficiency. This is because of the high throughput and possible local congestions in their traffic pattern. As a result, such workloads are more suitable for concurrency and redundancy energy reduction techniques where the voltage and frequency are reduced simultaneously and the increased power budget is used for introducing additional resources to the network in order to improve the performance  

    Energy Efficient Memory Management Techniques for Multicore Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Salehi-Minapour, Farzaneh (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Energy consumption is one of the most crucial issues in embedded systems and memories are responsible for a considerable portion of it. Furthermore, leakage power is becoming the dominant part of total power consumption as the feature size of transistors is scaled down, therefore, the memory management must be improved in order to mitigate the negative effect of increased static power dissipation. In this study, a new memory architecture and a new data mapping algorithm are presented that reduce the energy consumption of multi-core embedded systems while respecting the timing constraints. We propose a novel two-level scratch-pad memory (SPM) based on STTRAM, which is a type of non-volatile... 

    Dynamically adaptive register file architecture for energy reduction in embedded processors

    , Article Microprocessors and Microsystems ; Volume 39, Issue 2 , March , 2015 , Pages 49-63 ; 01419331 (ISSN) Khavari Tavana, M ; Ahmadian Khameneh, S ; Goudarzi, M ; Sharif University of Technology
    Elsevier  2015
    Abstract
    Energy reduction in embedded processors is a must since most embedded systems run on batteries and processor energy reduction helps increase usage time before needing a recharge. Register files are among the most power consuming parts of a processor core. Register file power consumption mainly depends on its size (height as well as width), especially in newer technologies where leakage power is increasing. We provide a register file architecture that, depending on the application behavior, dynamically (i) adapts the width of individual registers, and (ii) puts partitions of temporarily unused registers into low-power mode, so as to save both static and dynamic power. We show that our scheme... 

    Low-power DAC with charge redistribution sampling method for SAR ADCs

    , Article Electronics Letters ; Volume 52, Issue 3 , 2016 , Pages 187-188 ; 00135194 (ISSN) Yazdani, B ; Khorami, A ; Sharifkhani, M ; Sharif University of Technology
    Institution of Engineering and Technology 
    Abstract
    A sampling and switching method for a binary weighted digital-to-analogue converter (DAC) in successive approximation register (SAR) analogue-to-digital converters (ADCs) is presented. This sampling method is proposed to reduce the switching energy. Thanks to the proposed switching method, only one reference voltage (Vcm = 1/2 Vref) is required which helps to improve the precision of the DAC along with energy reduction compared with those methods that use more than one reference voltage. The switching energy and area of the DAC reduce by 97.66% and 50% compared with the conventional binary weighted DAC  

    An RT-Level Low Power Design Technique for Digital Circuits Implemented on FPGAs

    , M.Sc. Thesis Sharif University of Technology Kazemi Najafabadi, Mehdi (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    RT-level techniques are one of the most important categories of techniques employed for decreasing power consumption in digital systems. These techniques are usually applied in the HDL description of the system, however some of them are applicable automatically by the synthesis tools. Some of the most commonly used RT-level techniques include Operand isolation, Clock gating, Concurrency & Redundancy, Pre-computation and Pipeline for low power. However these techniques have been mostly employed in ASIC designs, and FPGAs have scarcely been addressed. Application of these techniques on FPGAs might need special considerations, since resources on FPGAs are inherently different than their ASIC... 

    Energy Reduction in GPGPUs

    , Ph.D. Dissertation Sharif University of Technology Falahati, Hajar (Author) ; Hessabi, Shahin (Supervisor) ; Baniasadi, Amirali (Co-Advisor)
    Abstract
    The number of transistors on a single chip is growing exponentially which results in a huge increase in consumed power and temperature. Parallel processing is a solution which concentrates on increasing the number of cores instead of improving single thread performance. Graphics Processing Units (GPUs) are parallel accelerators which are categorized as manycore systems. However, recent research shows that their consumed power and energy are increasing. In this research, we aim to propose methods to make GPGPUs energy effiecient. In this regard, we evaluated the detailed consummed power of GPGPUs. Our results show that memory sub-system is a critical bottelneck in terms of performance and... 

    SPM Allocation to Tasks for Energy Saving in Multicore Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Shekarisaz, Mohsen (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Energy consumption is one of the most improtant challenges in design of embedded systems and memory subsystem has signifcant role in this challenge. On-chip scratch-pad memories (SPM) provide a large potential for energy saving in memory subsystems used in embedded systems. However, due to the limited size of SPM, achieving reduced energy consumption necessitates proper memory partitioning and allocation, especially in multi-task and multicore embedded systems. Morever, conventional memories like SRAM have numerous problems such as their great role in occupation of die area and static energy.Therefore,exploiting emerging non-volatile memories like STT-MRAM and PCM can be very helpful in... 

    An Adaptive and Energy Aware Data Allocation for Scratchpad Memory in Energy Harvesting Systems with Non-volatile Memory

    , M.Sc. Thesis Sharif University of Technology Paridari, Ali (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Energy consumption is considered as one of the key constraints in the design of Embedded Systems. Exploiting abundant ambient energy offers a practical solution for designing embedded systems. In this regard, emerging trends are surging towards replacing battery-powered embedded systems in various different applications such as wearable systems, Internet of Things, and pervasive computing. Also, with the development and ommercialization of emerging Non-volatile memory technologies,the potential to reduce the static energy consumption and the ability to retain data in the absence of input energy, has become practically feasible for Embedded Systems. Consequently, recent researches have... 

    Numerical Study and Optimization of Effective Parameters in Freeze Desalination

    , M.Sc. Thesis Sharif University of Technology Hashempour, Masoud (Author) ; Afshin, Hossein (Supervisor)
    Abstract
    Freeze desalination as a water refining method has attracted a lot of attention due to its potential in lowering process energy consumption compared to other methods. This method is based on the fact that the dissolved salt is drained from the saline water during its freezing. The current study conducts a parametric study on freezing saline water in a cylindrical container to investigate the impact of geometric and functional factors affecting the desalination quality. This has been done by examining the aforesaid factors in the form of dimensionless parameters such as thermal Grashof number, solutal Grashof number, and Jacob number. In addition, in order to improve the desalination, a novel... 

    Energy analysis of multiple-cracked euler-bernoulli beam

    , Article Journal of Vibroengineering ; Volume 14, Issue 3 , 2012 , Pages 1399-1412 ; 13928716 (ISSN) Ghadami, A ; Maghsoodi, A ; Mirdamadi, H. R ; Sharif University of Technology
    JVE  2012
    Abstract
    This paper presents energy analysis of multiple-cracked beams. The study deals with crack energy reduction functions for consuming strain energy due to crack growth and the degree of conformity between these functions and experimental results. Three different reduction functions are employed in this research work. A comprehensive analysis is performed providing a comparison of the functions for a beam with one and two cracks. In order to elucidate advantages and disadvantages of each function, we employ them in different crack detection problems. For different cases of crack localization and quantification in a crack detection problem, the best function that fits the experimental results... 

    Opportunities for embedded software power reductions

    , Article Canadian Conference on Electrical and Computer Engineering ; 2011 , Pages 000763-000766 ; 08407789 (ISSN) ; 9781424497898 (ISBN) Assare, O ; Goudarzi, M ; Sharif University of Technology
    2011
    Abstract
    While performance and power consumption of processors present a classic trade-off in designing embedded hardware, software can be optimized in favor of both performance and energy. We evaluate the impact of optimizations at different stages of designing embedded software. We show that algorithm choice and compiler optimizations aimed at improving performance can also reduce energy consumption of an embedded processor. We also propose energy-aware compilation guidelines which can further reduce energy consumption without performance penalties. Our experimental results show that up to 85% energy reduction and 89% performance improvement can be achieved by these techniques  

    A Dynamic Slack Management Technique for Low Energy Consumption in Real-time Multi-core Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Fathi, Mohammad Hossein (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Due to the increasing development of digital systems based on limited energy sources (i.e. battery), energy efficiency has become one of the most important concerns in the design of these systems. The use of multi-core architecture is an effective solution for the problem of reducing energy consumption. Hence using it in digital systems has become more common. In addition, enabling methods for reducing energy consumption on processor, helps in making energy more efficient. DVFS and DPM are the two major methods used for reducing dynamic and static energy consumption of processors. The using of multi-core architecture due to the higher chip density, results the static and dynamic energy... 

    A comparative study of system-level energy management methods for fault-tolerant hard real-time systems

    , Article IEEE Transactions on Computers ; Volume 60, Issue 9 , 2011 , Pages 1288-1299 ; 00189340 (ISSN) Aminzadeh, S ; Ejlali, A ; Sharif University of Technology
    2011
    Abstract
    Low energy consumption and fault tolerance are often key objectives in the design of real-time embedded systems. However, these objectives are at odds, and there is a trade-off between them. Real-time systems usually use system level energy reduction methods, i.e., dynamic voltage scaling (DVS) and dynamic power management (DPM). Also hard real-time systems often use replication to achieve fault tolerance. In this paper, we investigate the impact of system level energy reduction methods on both the reliability and energy consumption of hard real-time systems which use replication for fault tolerance. In this analysis, we have considered four various existing energy management methods: 1)... 

    Scalable architecture for a contention-free optical network on-chip

    , Article Journal of Parallel and Distributed Computing ; Volume 72, Issue 11 , 2012 , Pages 1493-1506 ; 07437315 (ISSN) Koohi, S ; Hessabi, S ; Sharif University of Technology
    2012
    Abstract
    This paper proposes CoNoC (Contention-free optical NoC) as a new architecture for on-chip routing of optical packets. CoNoC is built upon all-optical switches (AOSs) which passively route optical data streams based on their wavelengths. The key idea of the proposed architecture is the utilization of per-receiver wavelength in the data network to prevent optical contention at the intermediate nodes. Routing optical packets according to their wavelength eliminates the need for resource reservation at the intermediate nodes and the corresponding latency, power, and area overheads. Since passive architecture of the AOS confines the optical contention to the end-points, we propose an electrical...