Loading...
Search for: integrated-circuit-interconnects
0.007 seconds

    Communication at the Speed of Light (CaSoL): A New Paradigm for Designing Global Wires

    , Article IEEE Transactions on Electron Devices ; Volume 66, Issue 8 , 2019 , Pages 3466-3472 ; 00189383 (ISSN) Sarvari, R ; Rassekh, A ; Shahhosseini, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this paper, we argue that communication at the speed of light (CaSoL) through on-chip copper interconnects is possible in the near future based on giga-scale integration (GSI) technologies. A three-step algorithm is introduced to design the optimum buffers in such systems. HSPICE simulations show that a 1.3× time of flight (TF) is reachable in 7-nm FinFET technology. It is also shown that such a design is by nature, robust, and immune to process variations and crosstalk noise. © 1963-2012 IEEE  

    Design of multilevel interconnect network of an ASIC macrocell for 7.5nm technology node using carbon based interconnects

    , Article 2014 IEEE International Interconnect Technology Conference / Advanced Metallization Conference, IITC/AMC 2014 ; May , 2014 , p. 163-166 Farahani, E. K ; Sarvari, R ; Sharif University of Technology
    Abstract
    Multilevel interconnect network of a macrocell for 7.5 nm technology node is designed with carbon based interconnects (CBI) and Cu. Results are compared. Constrains of using CBI is discussed. It is shown that by using CBI power dissipation associated with wires could decrease by 32%. To use GNRs for more than one metal pair, reverse wire pitch idea is proposed that prevents undesirable increase in the number of metal layers  

    On Temperature Dependency of Delay for Local,Intermediate, and Repeater Inserted Global Copper Interconnects

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 23, Issue 12 , 2015 , Pages 3143-3147 ; 10638210 (ISSN) Alizadeh, A ; Sarvari, R ; Sharif University of Technology
    Abstract
    Cryogenic technologies not only improve the performance of interconnects but also the performance of transistors and consequently drivers and repeaters. Although in cryogenically cooled integrated circuits the local temperature of interconnects and transistors may be as low as 50 K, it may easily reach to 600 K in high-temperature chips. In this brief, we investigated the impact of temperature on the delay of local, intermediate, unit-repeater-inserted (URI), and cascaded repeater-inserted (CRI) global copper interconnects for minimum technology node with available transistor model (32-nm technology). Our results show that temperature variation of driver resistance could change the delay of... 

    Autonomous operation of a hybrid AC/DC microgrid with multiple interlinking converters

    , Article IEEE Transactions on Smart Grid ; Volume 9, Issue 6 , 2018 , Pages 6480-6488 ; 19493053 (ISSN) Peyghami, S ; Mokhtari, H ; Blaabjerg, F ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Applying conventional dc-voltage-based droop approaches for hybrid ac/dc microgrids interconnected by a single interlinking converter (IC) can properly manage the power flow among ac and dc subgrids. However, due to the effect of line resistances, these approaches may create a circulating power as well as overstressing the ICs in the case of employing multiple ICs for interconnecting the ac and dc subgrids. This paper proposes an autonomous power sharing approach for hybrid microgrids interconnected through multiple ICs by introducing a superimposed frequency in the dc subgrid. Hence, a suitable droop approach is presented to manage the power among the dc and ac sources as well as ICs. The... 

    Design for scalability in enterprise SSDs

    , Article Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT ; 24-27 August , 2014 , p. 417-429 ; ISSN: 1089795X ; ISBN: 9781450328098 Tavakkol, A ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Solid State Drives (SSDs) have recently emerged as a high speed random access alternative to classical magnetic disks. To date, SSD designs have been largely based on multi-channel bus architecture that confronts serious scalability problems in high-end enterprise SSDs with dozens of flash memory chips and a gigabyte host interface. This forces the community to rapidly change the bus-based inter-flash standards to respond to ever increasing application demands. In this paper, we first give a deep look at how different flash parameters and SSD internal designs affect the actual performance and scalability of the conventional architecture. Our experiments show that SSD performance improvement... 

    Temperature-Dependent Comparison between Delay of CNT and Copper Interconnects

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 2 , 2016 , Pages 803-807 ; 10638210 (ISSN) Alizadeh, A ; Sarvari, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    The performance of gigascale integration chips improves by cryogenic technologies such as subambient cooling. In these conditions, interconnects may perform at temperatures as low as 50 K. However, the local temperature of interconnects could easily be as high as 600 K at high-temperature chips. In this brief, we investigated the impact of temperature on delay of local, intermediate, and global interconnects of International Technology Roadmap for Semiconductors Node 2024. This is done for different values of interconnect width and length, nanotube diameter, and percentage of metallic carbon nanotubes (CNTs) in a grown bundle. Results are compared with those of copper counterpart. We showed... 

    An efficient hybrid-switched network-on-chip for chip multiprocessors

    , Article IEEE Transactions on Computers ; Volume 65, Issue 5 , 2016 , Pages 1656-1662 ; 00189340 (ISSN) Lotfi Kamran, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    IEEE Computer Society 
    Abstract
    Chip multiprocessors (CMPs) require a low-latency interconnect fabric network-on-chip (NoC) to minimize processor stall time on instruction and data accesses that are serviced by the last-level cache (LLC). While packet-switched mesh interconnects sacrifice performance of many-core processors due to NoC-induced delays, existing circuit-switched interconnects do not offer lower network delays as they cannot hide the time it takes to set up a circuit. To address this problem, this work introduces CIMA - a hybrid circuit-switched and packet-switched mesh-based interconnection network that affords low LLC access delays at a small area cost. CIMA uses virtual cut-through (VCT) switching for short... 

    Comparison between optimal interconnection network in different 2D and 3D NoC structures

    , Article International System on Chip Conference ; 2014 , p. 171-176 Radfar, F ; Zabihi, M ; Sarvari, R ; Sharif University of Technology
    Abstract
    The current article studies optimal intercore interconnect network in a NoC structure for 2D and 3D mesh, torus and hypercube topologies. Optimal wire width/spacing is calculated by numerically maximizing bandwidth times the reciprocal delay, which depends on the technology node and hop length. Through 3D integration and increasing tiers, optimal interconnect width and spacing in torus and hypercube topologies will decrease. The core-to-core channel width in all topologies will be obtained by assigning 20% of the power consumption to the routers. By increasing number of cores, channel width will decrease due to reduced power consumption of each core. This is more in hypercube topology, due... 

    Design of n-tier multilevel interconnect architectures by using carbon nanotube interconnects

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 23, Issue 10 , October , 2015 , Pages 2128-2134 ; 10638210 (ISSN) Farahani, E. K ; Sarvari, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, n-tier methodology is developed to design multilevel interconnect architecture of macrocells using single-wall carbon nanotube (SWCNT) bundles. Upper limit of low-bias voltage of SWCNT bundle interconnects is derived and its dependence on temperature, SWCNTs' diameter, and interconnect length is studied. Possibility of using SWCNT bundles as local interconnects at 7.5-nm technology node is discussed, and it is shown that SWCNT bundles with 1 nm diameter cannot be used at the first interconnect metal level. Using Cu and SWCNT bundles, multilevel interconnect architecture of a 7.5-nm ASIC macrocell is designed which reduces the number of metal levels by 27% and power dissipation... 

    Experimental investigation of the thermal management of flat-plate closed-loop pulsating heat pipes with interconnecting channels

    , Article Applied Thermal Engineering ; Volume 90 , 2015 , Pages 838-847 ; 13594311 (ISSN) Ebrahimi, M ; Shafii, M. B ; Bijarchi, M. A ; Sharif University of Technology
    Elsevier Ltd  2015
    Abstract
    Abstract A desired circulatory flow in flat-plate closed-loop pulsating heat pipes (FP-CLPHPs), which may ameliorate electronic thermal management, was achieved by using the new idea of interconnecting channels (ICs) to decrease flow resistance in one direction and increase the total heat transfer of fluid. In order to experimentally investigate the effects of the IC, two aluminum flat-plate thermal spreaders - one with ICs (IC-FP-CLPHP) and one without them - were fabricated. The FP-CLPHPs were charged with ethanol as working fluid with filling ratios of 35%, 50%, 65%, and 80% by volume. Performance of interconnecting channels in different heat inputs was explored, and the results... 

    VLSI interconnect issues in definitive and stochastic environments

    , Article Microelectronics Journal ; Volume 46, Issue 5 , 2015 , Pages 351-361 ; 00262692 (ISSN) Mehri, M ; Sarvari, R ; Mazaheri Kouhan, M. H ; Shariati, Z ; Sharif University of Technology
    Elsevier Ltd  2015
    Abstract
    Abstract A system designer needs to estimate the behavior of a system interconnection based on different patterns of switching which happen around an interconnect. Two different scenarios are supposed to estimate the effect of interconnect issues on system performance. First, based on a normalization technique for decreasing the number of a transfer function variables, a definitive environment for one interconnect is considered and an optimized look-up-table for the wire time delay is generated. Using some sampling methods, fast accessible look-up-tables are proposed for CAD tools in very simple and small one. A 4×4×4 table for the wire delay is introduced which results in very fast... 

    A loss aware scalable topology for photonic on chip interconnection networks

    , Article Journal of Supercomputing ; Vol. 68, Issue. 1 , April , 2014 , pp. 106-135 ; ISSN: 1573-0484 (online) Reza, A ; Sarbazi Azad, H ; Khademzadeh, A ; Shabani, H ; Niazmand, B ; Sharif University of Technology
    Abstract
    The demand for robust computation systems has led to the increment of the number of processing cores in current chips. As the number of processing cores increases, current electrical communication means can introduce serious challenges in system performance due to the restrictions in power consumption and communication bandwidth. Contemporary progresses in silicon nano-photonic technology have provided a suitable platform for constructing photonic communication links as an alternative for overcoming such problems. Topology is one of the most significant characteristics of photonic interconnection networks. In this paper, we have introduced a novel topology, aiming to reduce insertion loss in... 

    BiNoCHS: bimodal network-on-chip for CPU-GPU heterogeneous systems

    , Article 2017 11th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2017, 19 October 2017 through 20 October 2017 ; 2017 ; 9781450349840 (ISBN) Mirhosseini, A ; Sadrosadati, M ; Soltani, B ; Sarbazi Azad, H ; Wenisch, T. F ; Sharif University of Technology
    Abstract
    CPU-GPU heterogeneous systems are emerging as architectures of choice for high-performance energy-efficient computing. Designing on-chip interconnects for such systems is challenging; CPUs typically benefit greatly from optimizations that reduce latency, but rarely saturate bandwidth or queueing resources. In contrast, GPUs generate intense traffic that produces local congestion, harming CPU performance. Congestion-optimized interconnects can mitigate this problem through larger virtual and physical channel resources. However, when there is little traffic, such networks become suboptimal due to higher unloaded packet latencies and critical path delays.We argue for a reconfigurable network... 

    LAXY: a location-based aging-resilient Xy-Yx routing algorithm for network on chip

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 36, Issue 10 , 2017 , Pages 1725-1738 ; 02780070 (ISSN) Rohbani, N ; Shirmohammadi, Z ; Zare, M ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    Network on chip (NoC) is a scalable interconnection architecture for ever increasing communication demand between processing cores. However, in nanoscale technology size, NoC lifetime is limited due to aging processes of negative bias temperature instability, hot carrier injection, and electromigration. Usually, because of unbalanced utilization of NoC resources, some parts of the network experience more thermal stress and duty cycle in comparison with other parts, which may accelerate chip failure. To slow down the aging rate of NoC, this paper proposes an oblivious routing algorithm called location-based aging-resilient Xy-Yx (LAXY) to distribute packet flow over entire network. LAXY is... 

    DuCNoC: a high-throughput FPGA-based NoC simulator using dual-clock lightweight router micro-architecture

    , Article IEEE Transactions on Computers ; Volume 67, Issue 2 , February , 2018 , Pages 208-221 ; 00189340 (ISSN) Mardani Kamali, H ; Zamiri Azar, K ; Hessabi, S ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    On-chip interconnections play an important role in multi/many-processor systems-on-chip (MPSoCs). In order to achieve efficient optimization, each specific application must utilize a specific architecture, and consequently a specific interconnection network. For design space exploration and finding the best NoC solution for each specific application, a fast and flexible NoC simulator is necessary, especially for large design spaces. In this paper, we present an FPGA-based NoC co-simulator, which is able to be configured via software. In our proposed NoC simulator, entitled DuCNoC, we implement a Dual-Clock router micro-architecture, which demonstrates 75x-350x speed-up against BOOKSIM.... 

    AM3D: An accurate crosstalk probability modeling to predict channel delay in 3D ICs

    , Article Microelectronics Reliability ; Volume 102 , 2019 ; 00262714 (ISSN) Shirmohammadi, Z ; Nikoofard, A ; Ershadi, G ; Sharif University of Technology
    Elsevier Ltd  2019
    Abstract
    Migration from Two Dimensional Integrated Circuits (2D ICs) to Three Dimensional Integrated Circuits (3D ICs) reduces the delay due to the shorter wire length between sender and receiver. However, Through-Silicon-Vias (TSVs) that connect layers in the structure of 3D ICs can seriously increase the delay due to capacitance coupling between TSVs and lead to crosstalk fault. The severity of crosstalk faults depends on transitions appearing on TSVs that is called transition patterns. To propose an efficient crosstalk tackling mechanisms in 3D ICs, an accurate probability analytical model is required to predict the delay caused by TSVs (3D ICs) in the attendance of these transition patterns. In... 

    A thermally-resilient all-optical network-on-chip

    , Article Microelectronics Reliability ; Volume 99 , 2019 , Pages 74-86 ; 00262714 (ISSN) Karimi, R ; Koohi, S ; Tinati, M ; Hessabi, S ; Sharif University of Technology
    Elsevier Ltd  2019
    Abstract
    Optical networks-on-chip are introduced as an alternative for electrical interconnects in many-core systems, due to their low delay and power consumptions, as well as their high bandwidths. Despite these advantages, physical characteristics of the photonic components are highly sensitive to thermal variations, which results in optical data misrouting through the optical networks at the presence of temperature fluctuation. In this paper, we propose a thermally-resilient all-optical communication approach which improves reliability, as well as performance of the optical networks. For this purpose, we take advantages of auxiliary waveguides and a novel wavelength assignment approach to avoid...