Loading...
Search for: interconnects
0.007 seconds
Total 228 records

    Communication at the Speed of Light (CaSoL): A New Paradigm for Designing Global Wires

    , Article IEEE Transactions on Electron Devices ; Volume 66, Issue 8 , 2019 , Pages 3466-3472 ; 00189383 (ISSN) Sarvari, R ; Rassekh, A ; Shahhosseini, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this paper, we argue that communication at the speed of light (CaSoL) through on-chip copper interconnects is possible in the near future based on giga-scale integration (GSI) technologies. A three-step algorithm is introduced to design the optimum buffers in such systems. HSPICE simulations show that a 1.3× time of flight (TF) is reachable in 7-nm FinFET technology. It is also shown that such a design is by nature, robust, and immune to process variations and crosstalk noise. © 1963-2012 IEEE  

    An empirical performance analysis of minimal and non-minimal routing in cube-based OTIS multicomputers

    , Article Journal of High Speed Networks ; Volume 16, Issue 2 , 2007 , Pages 133-155 ; 09266801 (ISSN) Hashemi Najaf Abadi, H ; Sarbazi Azad, H ; Sharif University of Technology
    2007
    Abstract
    In this study, the performance of virtual cut-through switching in the cube-based OTIS architecture, an optoelectronic interconnection architecture for multicomputer systems, is empirically analyzed. Deadlock-free deterministic and adaptive minimal path routing algorithms for this architecture are introduced, and the effects of different network and traffic parameters on average message latency are investigated. This analysis presents a relatively more realistic view of the OTIS architecture than that presented in previous work by considering issues related to a lower level of abstraction (the routing and switching of messages). Among other results, the analysis indicates that depending on... 

    On Temperature Dependency of Delay for Local,Intermediate, and Repeater Inserted Global Copper Interconnects

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 23, Issue 12 , 2015 , Pages 3143-3147 ; 10638210 (ISSN) Alizadeh, A ; Sarvari, R ; Sharif University of Technology
    Abstract
    Cryogenic technologies not only improve the performance of interconnects but also the performance of transistors and consequently drivers and repeaters. Although in cryogenically cooled integrated circuits the local temperature of interconnects and transistors may be as low as 50 K, it may easily reach to 600 K in high-temperature chips. In this brief, we investigated the impact of temperature on the delay of local, intermediate, unit-repeater-inserted (URI), and cascaded repeater-inserted (CRI) global copper interconnects for minimum technology node with available transistor model (32-nm technology). Our results show that temperature variation of driver resistance could change the delay of... 

    Time domain analysis of graphene nanoribbon interconnects based on transmission line model

    , Article Iranian Journal of Electrical and Electronic Engineering ; Volume 8, Issue 1 , Dec , 2012 , Pages 37-44 ; 17352827 (ISSN) Nasiri, S. H ; Moravvej-Farshi, M. K ; Faez, R ; Sharif University of Technology
    Abstract
    Time domain analysis of multilayer graphene nano ribbon (MLGNR) interconnects, based on transmission line modeling (TLM) using a six-order linear parametric expression, has been presented for the first time. We have studied the effects of interconnect geometry along with its contact resistance on its step response and Nyquist stability. It is shown that by increasing interconnects dimensions their propagation delays are increased and accordingly the system becomes relatively more stable. In addition, we have compared time responses and Nyquist stabilities of MLGNR and SWCNT bundle interconnects, with the same external dimensions. The results show that under the same conditions, the... 

    Task migration in three-dimensional meshes

    , Article Journal of Supercomputing ; 2010 , Pages 1-25 ; 09208542 (ISSN) Bargi, A ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    As a result of the emerging use of mesh-based multicomputers (and recently mesh-based multiprocessor systems-on-chip), issues related to processor management have attracted much attention. In a mesh-based multiprocessor, after repeated submesh allocations and de-allocations, the system network may be fragmented, i.e. there might be unallocated nodes in the network. As a result, in a system with contiguous processor allocation, no new tasks can start running due to the lack of enough free adjacent processors to form a suitable submesh. Although there might be enough free processors available, they remain idle until the allocator can find a set of adjacent free nodes forming a submesh to be... 

    Temperature-Dependent Comparison between Delay of CNT and Copper Interconnects

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 2 , 2016 , Pages 803-807 ; 10638210 (ISSN) Alizadeh, A ; Sarvari, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    The performance of gigascale integration chips improves by cryogenic technologies such as subambient cooling. In these conditions, interconnects may perform at temperatures as low as 50 K. However, the local temperature of interconnects could easily be as high as 600 K at high-temperature chips. In this brief, we investigated the impact of temperature on delay of local, intermediate, and global interconnects of International Technology Roadmap for Semiconductors Node 2024. This is done for different values of interconnect width and length, nanotube diameter, and percentage of metallic carbon nanotubes (CNTs) in a grown bundle. Results are compared with those of copper counterpart. We showed... 

    Intruder capturing in mesh and torus networks

    , Article International Journal of Foundations of Computer Science ; Volume 19, Issue 4 , 2008 , Pages 1049-1071 ; 01290541 (ISSN) Imani, N ; Sarbazi Azad, H ; Zomaya, A ; Sharif University of Technology
    2008
    Abstract
    In this paper, we propose a solution for the problem of capturing an intruder in two popular interconnection topologies namely, the mesh and the torus. A set of agents collaborate to capture a hostile intruder in the network. While the agents can move in the network one hop at a time, the intruder is assumed to be arbitrarily fast i.e. it can traverse any number of nodes contiguously as far as there are no agents in those nodes. Here we consider a new version of the problem where each agent can replicate new agents when needed, i.e. the algorithm starts with a single agent and new agents are created on demand. We define a new class of algorithms for capturing an intruder. In particular, we... 

    A mathematical framework for cellular learning automata

    , Article Advances in Complex Systems ; Volume 7, Issue 3-4 , 2004 , Pages 295-319 ; 02195259 (ISSN) Beigy, H ; Meybodi, M. R ; Sharif University of Technology
    2004
    Abstract
    The cellular learning automata, which is a combination of cellular automata, and learning automata, is a new recently introduced model. This model is superior to cellular automata because of its ability to learn and is also superior to a single learning automaton because it is a collection of learning automata which can interact with each other. The basic idea of cellular learning automata, which is a subclass of stochastic cellular learning automata, is to use the learning automata to adjust the state transition probability of stochastic cellular automata. In this paper, we first provide a mathematical framework for cellular learning automata and then study its convergence behavior. It is... 

    A mathematical model of deterministic wormhole routing in hypercube multicomputers using virtual channels

    , Article Applied Mathematical Modelling ; Volume 27, Issue 12 , 2003 , Pages 943-953 ; 0307904X (ISSN) Sarbazi Azad, H ; Sharif University of Technology
    Elsevier Inc  2003
    Abstract
    Although several analytical models have been proposed in the literature for binary n-cubes with deterministic routing, most of them have not included the effects of virtual channel multiplexing on network performance. The only mathematical model for deterministic wormhole routing in hypercubes with virtual channels was proposed in [Y. Boura, Design and Analysis of Routing Schemes and Routers for Wormhole-routed Mesh Architectures, Ph.D. Thesis, Department of Computer Science and Engineering, Pennsylvania State University, 1995] which uses complex combinatorial analysis with a computation time of O(N = 2n) for an n-dimensional hypercube. This paper proposes a new and simple analytical model... 

    A parallel algorithm for Lagrange interpolation on the cube-connected cycles

    , Article Microprocessors and Microsystems ; Volume 24, Issue 3 , 2000 , Pages 135-140 ; 01419331 (ISSN) Sarbazi Azad, H ; Ould-Khaoua, M ; Mackenzie, L. M ; Sharif University of Technology
    Elsevier  2000
    Abstract
    This paper introduces a parallel algorithm for computing an N = n2n point Lagrange interpolation on an n-dimensional cube-connected cycles (CCCn). The algorithm consists of three phases: initialisation, main and final. While there is no computation in the initialisation phase, the main phase is composed of n2n-1 steps, each consisting of four multiplications, four subtractions and one communication operation, and an additional step including one division and one multiplication. The final phase is carried out in two sub-phases. There are [n/2] steps in the first sub-phase, each including two additions and one communication, followed by the second sub-phase which comprises n steps each... 

    On pancyclicity properties of OTIS-mesh

    , Article Information Processing Letters ; Vol. 111, issue. 8 , 2011 , p. 353-359 ; ISSN: 00200190 Shafiei, T ; Hoseiny-Farahabady, M.R ; Movaghar, A ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In optoelectronic OTIS multicomputer networks (also known as Swapped networks), electrical and optical interconnects are used for local and global communication, respectively. An interesting instance of the OTIS multicomputers is the OTIS-mesh. Pancyclicity is of great importance in the implementation of a variety of parallel algorithms in multicomputers. This paper addresses the Pancyclicity problem of OTIS-mesh. More precisely, we prove that if the factor graph G of an OTIS network is a 2-D or 3-D mesh with at least one even radix, OTIS-G can embed any cycle of length l, l?{4,6,7,8,9,...,|G|2}  

    Design of multilevel interconnect network of an ASIC macrocell for 7.5nm technology node using carbon based interconnects

    , Article 2014 IEEE International Interconnect Technology Conference / Advanced Metallization Conference, IITC/AMC 2014 ; May , 2014 , p. 163-166 Farahani, E. K ; Sarvari, R ; Sharif University of Technology
    Abstract
    Multilevel interconnect network of a macrocell for 7.5 nm technology node is designed with carbon based interconnects (CBI) and Cu. Results are compared. Constrains of using CBI is discussed. It is shown that by using CBI power dissipation associated with wires could decrease by 32%. To use GNRs for more than one metal pair, reverse wire pitch idea is proposed that prevents undesirable increase in the number of metal layers  

    On pancyclicity properties of OTIS-mesh

    , Article Information Processing Letters ; Volume 111, Issue 8 , March , 2011 , Pages 353-359 ; 00200190 (ISSN) Shafiei, T ; Hoseiny Farahabady, M. R ; Movaghar, A ; Sarbazi Azad, H ; Sharif University of Technology
    2011
    Abstract
    In optoelectronic OTIS multicomputer networks (also known as Swapped networks), electrical and optical interconnects are used for local and global communication, respectively. An interesting instance of the OTIS multicomputers is the OTIS-mesh. Pancyclicity is of great importance in the implementation of a variety of parallel algorithms in multicomputers. This paper addresses the Pancyclicity problem of OTIS-mesh. More precisely, we prove that if the factor graph G of an OTIS network is a 2-D or 3-D mesh with at least one even radix, OTIS-G can embed any cycle of length l, l∈{4,6,7,8,9,...,|G|2}  

    SEU-hardened energy recovery pipelined interconnects for on-chip networks

    , Article 2nd IEEE International Symposium on Networks-on-Chip, NOCS 2008, Newcastle upon Tyne, 7 April 2008 through 11 April 2008 ; 2008 , Pages 67-76 ; 0769530982 (ISBN); 9780769530987 (ISBN) Ejlali, A ; Al Hashimi, B. M ; Sharif University of Technology
    2008
    Abstract
    Pipelined on-chip interconnects are used in on-chip networks to increase the throughput of interconnects and to achieve freedom in choosing arbitrary network topologies. Since reliability and energy consumption are prominent issues in on-chip networks, they should be carefully considered in the design of pipelined interconnects. In this paper, ws propose the use of energy recovery techniques to construct low energy and reliable pipelined on-chip interconnects. The proposed designs have been evaluated using detailed SPICE simulations. In the reliability analysis, the SEU fault model is considered as it is a major reliability concern in the sequential circuits (pipelining memory elements)... 

    Analytical performance modelling of adaptive wormhole routing in the star interconnection network

    , Article 20th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2006, 25 April 2006 through 29 April 2006 ; Volume 2006 , 2006 ; 1424400546 (ISBN); 9781424400546 (ISBN) Kiasari, A. E ; Sarbazi Azad, H ; Ould Khaoua, M ; Sharif University of Technology
    IEEE Computer Society  2006
    Abstract
    The star graph was introduced as an attractive alternative to the well-known hypercube and its properties have been well studied in the past. Most of these studies have focused on topological properties and algorithmic aspects of this network. Although several analytical models have been proposed in the literature for different interconnection networks, none of them have dealt with star graphs. This paper proposes the first analytical model to predict message latency in wormhole-switched star interconnection networks with fully adaptive routing. The analysis focuses on a fully adaptive routing algorithm which has shown to be the most effective for star graphs. The results obtained from... 

    Design of n-tier multilevel interconnect architectures by using carbon nanotube interconnects

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 23, Issue 10 , October , 2015 , Pages 2128-2134 ; 10638210 (ISSN) Farahani, E. K ; Sarvari, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, n-tier methodology is developed to design multilevel interconnect architecture of macrocells using single-wall carbon nanotube (SWCNT) bundles. Upper limit of low-bias voltage of SWCNT bundle interconnects is derived and its dependence on temperature, SWCNTs' diameter, and interconnect length is studied. Possibility of using SWCNT bundles as local interconnects at 7.5-nm technology node is discussed, and it is shown that SWCNT bundles with 1 nm diameter cannot be used at the first interconnect metal level. Using Cu and SWCNT bundles, multilevel interconnect architecture of a 7.5-nm ASIC macrocell is designed which reduces the number of metal levels by 27% and power dissipation... 

    DuCNoC: a high-throughput FPGA-based NoC simulator using dual-clock lightweight router micro-architecture

    , Article IEEE Transactions on Computers ; Volume 67, Issue 2 , February , 2018 , Pages 208-221 ; 00189340 (ISSN) Mardani Kamali, H ; Zamiri Azar, K ; Hessabi, S ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    On-chip interconnections play an important role in multi/many-processor systems-on-chip (MPSoCs). In order to achieve efficient optimization, each specific application must utilize a specific architecture, and consequently a specific interconnection network. For design space exploration and finding the best NoC solution for each specific application, a fast and flexible NoC simulator is necessary, especially for large design spaces. In this paper, we present an FPGA-based NoC co-simulator, which is able to be configured via software. In our proposed NoC simulator, entitled DuCNoC, we implement a Dual-Clock router micro-architecture, which demonstrates 75x-350x speed-up against BOOKSIM.... 

    A thermally-resilient all-optical network-on-chip

    , Article Microelectronics Reliability ; Volume 99 , 2019 , Pages 74-86 ; 00262714 (ISSN) Karimi, R ; Koohi, S ; Tinati, M ; Hessabi, S ; Sharif University of Technology
    Elsevier Ltd  2019
    Abstract
    Optical networks-on-chip are introduced as an alternative for electrical interconnects in many-core systems, due to their low delay and power consumptions, as well as their high bandwidths. Despite these advantages, physical characteristics of the photonic components are highly sensitive to thermal variations, which results in optical data misrouting through the optical networks at the presence of temperature fluctuation. In this paper, we propose a thermally-resilient all-optical communication approach which improves reliability, as well as performance of the optical networks. For this purpose, we take advantages of auxiliary waveguides and a novel wavelength assignment approach to avoid... 

    Design for scalability in enterprise SSDs

    , Article Parallel Architectures and Compilation Techniques - Conference Proceedings, PACT ; 24-27 August , 2014 , p. 417-429 ; ISSN: 1089795X ; ISBN: 9781450328098 Tavakkol, A ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Solid State Drives (SSDs) have recently emerged as a high speed random access alternative to classical magnetic disks. To date, SSD designs have been largely based on multi-channel bus architecture that confronts serious scalability problems in high-end enterprise SSDs with dozens of flash memory chips and a gigabyte host interface. This forces the community to rapidly change the bus-based inter-flash standards to respond to ever increasing application demands. In this paper, we first give a deep look at how different flash parameters and SSD internal designs affect the actual performance and scalability of the conventional architecture. Our experiments show that SSD performance improvement... 

    O-TF and O-FTF, optical fault-tolerant DCNS

    , Article Proceedings - 26th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2018 ; 6 June , 2018 , Pages 639-642 ; 9781538649756 (ISBN) Akbari Rokn Abadi, S ; Koohi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Performance of a data center is a function of three features; bandwidth, latency, and reliability. By adopting optical technology in data center network, bandwidth increment, in addition to reduction of transmission latency and power consumption, is achieved. Unfortunately, fault tolerance of the optical networks has raised less attention so far. So in this paper, we propose a fault-tolerant, scalable, and high-performance optical architecture built upon previously proposed O-TF network, with the goal of redundancy optimization and reducing the minimum number of wavelength channels required for non-blocking functionality of the network. Moreover, reducing network diameter, in O-FTF network...