Loading...
Search for: microprocessor-chips
0.015 seconds
Total 103 records

    The stretched-hypercube: A VLSI efficient network topology

    , Article 8th International Symposium on Parallel Architectures, Algorithms and Networks, I-SPAN 2005, Las Vegas, NV, 7 December 2005 through 9 December 2005 ; Volume 2005 , 2005 , Pages 462-467 ; 0769525091 (ISBN); 9780769525099 (ISBN) Shareghi, P ; Sarbazi Azad, H ; Sharif University of Technology
    2005
    Abstract
    In this paper, we introduce a new class of interconnection networks for multiprocessor systems which we refer to as Stretched-Hypercubes, or shortly the Stretched-Cube networks. These networks are obtained by replacing an edge of the well-known hypercube network with an array of processors. Two interesting features of the proposed topology are its area-efficient VLSI layout and superior scalability over the traditional hypercube network. Some topological properties of the proposed network are studied. In addition, an area-efficient VLSI layout for the stretched-cube is suggested and some comparisons between the proposed network and previously studied networks such as the star and hypercube... 

    Evaluation of traffic pattern effect on power consumption in mesh and torus network-on-chips

    , Article 2007 International Symposium on Integrated Circuits, ISIC, Singapore, 26 September 2007 through 28 September 2007 ; 2007 , Pages 512-515 ; 1424407974 (ISBN); 9781424407972 (ISBN) Koohi, S ; Mirza Aghatabar, M ; Hessabi, S ; Sharif University of Technology
    2007
    Abstract
    Technology scaling increases clock rates and die sizes; therefore, power dissipation is predicted to soon become the key limiting factor on the performance of single-chip designs. NoC as an efficient and scalable on-chip communication architecture for SoC architectures, enables integration of a large number of computational and storage blocks on a single chip. Since different applications impose different traffic models to the network, in this paper we will analyze the power and energy consumption of the most popular traffic models, i.e., Uniform, Local, HotSpot and First Matrix Transpose, in two famous and well designed topologies, mesh and torus. We will also compare these topologies with... 

    A low-power CMOS Gm-C filter for wireless receiver applications with on-chip automatic tuning system

    , Article ISCAS 2006: 2006 IEEE International Symposium on Circuits and Systems, Kos, 21 May 2006 through 24 May 2006 ; 2006 , Pages 3810-3813 ; 02714310 (ISSN); 0780393902 (ISBN); 9780780393905 (ISBN) Adrang, H ; Lotfi, R ; Mafinejhad, K ; Tajalli, A ; Mehrmanesh, S ; Sharif University of Technology
    2006
    Abstract
    In this paper, a fourth-order, 3.5-MHz, low-pass elliptic Gm-C filter employing low-noise, low-voltage transconductance amplifiers is presented. A new technique to enhance the linearity of the Gm-C filter is proposed. Furthermore, the nonlinear behavior of the filter caused by nonlinear behavior of transconductors with determined input amplitude is discussed. HSpice simulation results of the 1.8-V filter in a 0.18μm CMOS process show a THD of less than 44dB for 0.6Vpp input signal and an input-referred noise of less than 45 nV/√Hz in worst case. The current consumption of each OTA is 1.5-mA. © 2006 IEEE  

    Hierarchical Graph: A new cost effective architecture for network on chip

    , Article International Conference on Embedded and Ubiquitous Computing, EUC 2005, Nagasaki, 6 December 2005 through 9 December 2005 ; Volume 3824 LNCS , 2005 , Pages 311-320 ; 03029743 (ISSN); 3540308075 (ISBN); 9783540308072 (ISBN) Vahdatpour, A ; Tavakoli, A ; Falaki, M. H ; Sharif University of Technology
    2005
    Abstract
    We purposed a new Network on Chip (NoC) architecture called Hierarchical Graph. The most interesting feature of this novel architecture is its simple implementation process. Furthermore, the flexible structure of this topology makes it suitable for use in application specified chips. To benchmark the suggested architecture with existing ones, basic models of physical implementation have been extracted and simulated using NS-2. The results compared with the common used architecture Mesh show that HG has better performance, especially in local traffics and high loads. © IFIP International Federation for Information Processing 2005  

    Overhead-free polymorphism in network-on-chip implementation of object-oriented models

    , Article Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 04, Paris, 16 February 2004 through 20 February 2004 ; Volume 2 , 2004 , Pages 1380-1381 ; 0769520855 (ISBN); 9780769520858 (ISBN) Goudarzi, M ; Hessabi, S ; Mycroft, A ; Sharif University of Technology
    2004
    Abstract
    We unify virtual-method despatch (polymorphism implementation) and network packet-routing operations; virtual-method calls correspond to network packets, and network addresses are allocated such that routing the packet corresponds to dispatching the call. As the run-time routing structure is inherent in Network-on-Chip platforms, this unification implements polymorphism/or free.1  

    Using task migration to improve non-contiguous processor allocation in NoC-based CMPs

    , Article Journal of Systems Architecture ; Vol. 59, issue. 7 , 2013 , pp. 468-481 ; ISSN: 13837621 Modarressi, M ; Asadinia, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, a processor allocation mechanism for NoC-based chip multiprocessors is presented. Processor allocation is a well-known problem in parallel computer systems and aims to allocate the processing nodes of a multiprocessor to different tasks of an input application at run time. The proposed mechanism targets optimizing the on-chip communication power/latency and relies on two procedures: processor allocation and task migration. Allocation is done by a fast heuristic algorithm to allocate the free processors to the tasks of an incoming application when a new application begins execution. The task-migration algorithm is activated when some application completes execution and frees up... 

    Network-on-SSD: A scalable and high-performance communication design paradigm for SSDs

    , Article IEEE Computer Architecture Letters ; Vol. 12, issue 1, Article number 6178186 , 2013 , pp. 5-8 ; ISSN: 15566056 Tavakkol, A ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In recent years, flash memory solid state disks (SSDs) have shown a great potential to change storage infrastructure because of its advantages of high speed and high throughput random access. This promising storage, however, greatly suffers from performance loss because of frequent ''erase-before-write'' and ''garbage collection'' operations. Thus, novel circuit-level, architectural, and algorithmic techniques are currently explored to address these limitations. In parallel with others, current study investigates replacing shared buses in multi-channel architecture of SSDs with an interconnection network to achieve scalable, high throughput, and reliable SSD storage systems. Roughly... 

    Application specific router architectures for NoCs: An efficiency and power consumption analysis

    , Article Mechatronics ; Vol. 22, issue. 5 , August , 2012 , pp. 531-537 ; ISSN: 9574158 Najjari, N ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Networks on chip (NoC) have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of intellectual properties (IP) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores onto the tiles of a chip. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs onto the tiles of the network. Different mapping algorithms have been proposed for NoCs which allocate a set of IPs to given network topologies. In these mapping algorithms, there is a restriction which limits IPs... 

    Supporting non-contiguous processor allocation in mesh-based CMPs using virtual point-to-point links

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2011 , p. 413-418 ; ISSN: 15301591 ; ISBN: 9783981080179 Asadinia, M ; Modarressi, M ; Tavakkol, A ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we propose a processor allocation mechanism for run-time assignment of a set of communicating tasks of input applications onto the processing nodes of a Chip Multiprocessor (CMP), when the arrival order and execution lifetime of the input applications are not known a priori. This mechanism targets the on-chip communication and aims to reduce the power and latency of the NoC employed as the communication infrastructure. In this work, we benefit from the advantages of non-contiguous processor allocation mechanisms, by allowing the tasks of the input application mapped onto disjoint regions (sub-meshes) and then virtually connecting them by bypassing the router pipeline stages of... 

    Time-scalable mapping for circuit-switched GALS chip multiprocessor platforms

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Vol. 33, issue. 5 , May , 2014 , p. 752-762 Foroozannejad, M. H ; Hashemi, M ; Mahini, A ; Baas, B. M ; Ghiasi, S ; Sharif University of Technology
    Abstract
    We study the problem of mapping concurrent tasks of an application to cores of a chip multiprocessor that utilize circuit-switched interconnect and global asynchronous local synchronous (GALS) clocking domains. We develop a configurable algorithm that naturally handles a number of practical requirements, such as architectural features of the target platform, core failures, and hardware accelerators, and in addition, is scalable to a large number of tasks and cores. Experiments with several real life applications show that our algorithm outperforms manual mapping, integer linear programming-based mapping after ten days of solver run time, and a recent packet-switched network on chip-based... 

    Using task migration to improve non-contiguous processor allocation in NoC-based CMPs

    , Article Journal of Systems Architecture ; Volume 59, Issue 7 , August , 2013 , Pages 468-481 ; 13837621 (ISSN) Modarressi, M ; Asadinia, M ; Sarbazi Azad, H ; Sharif University of Technology
    2013
    Abstract
    In this paper, a processor allocation mechanism for NoC-based chip multiprocessors is presented. Processor allocation is a well-known problem in parallel computer systems and aims to allocate the processing nodes of a multiprocessor to different tasks of an input application at run time. The proposed mechanism targets optimizing the on-chip communication power/latency and relies on two procedures: processor allocation and task migration. Allocation is done by a fast heuristic algorithm to allocate the free processors to the tasks of an incoming application when a new application begins execution. The task-migration algorithm is activated when some application completes execution and frees up... 

    CLASS: Combined logic and architectural soft error sensitivity analysis

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC ; 2013 , Pages 601-607 ; 9781467330299 (ISBN) Ebrahimi, M ; Chen, L ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    2013
    Abstract
    With continuous technology downscaling, the rate of radiation induced soft errors is rapidly increasing. Fast and accurate soft error vulnerability analysis in early design stages plays an important role in cost-effective reliability improvement. However, existing solutions are suitable for either regular (a.k.a address-based such as memory hierarchy) or irregular (random logic such as functional units and control logic) structures, failing to provide an accurate system-level analysis. In this paper, we propose a hybrid approach integrating architecture-level and logic-level techniques to accurately estimate the vulnerability of all regular and irregular structures within a microprocessor.... 

    Network-on-SSD: A scalable and high-performance communication design paradigm for SSDs

    , Article IEEE Computer Architecture Letters ; Volume 12, Issue 1 , January-June , 2013 , Pages 5-8 ; 15566056 (ISSN) Tavakkol, A ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    2013
    Abstract
    In recent years, flash memory olid state disks (SSDs) have shown a great potential to change storage infrastructure because of its advantages of high speed and high throughput random access. This promising storage, however, greatly suffers from performance loss because of frequent ''erase-before-write'' and ''garbage collection'' operations. Thus, novel circuit-level, architectural, and algorithmic techniques are currently explored to address these limitations. In parallel with others, current study investigates replacing shared buses in multi-channel architecture of SSDs with an interconnection network to achieve scalable, high throughput, and reliable SSD storage systems. Roughly speaking,... 

    Dynamic routing of data stream tuples among parallel query plan running on multi-core processors

    , Article Distributed and Parallel Databases ; Volume 30, Issue 2 , April , 2012 , Pages 145-176 ; 09268782 (ISSN) Safaei, A. A ; Sharifrazavian, A ; Sharifi, M ; Haghjoo, M. S ; Sharif University of Technology
    2012
    Abstract
    In this paper, a method for fast processing of data stream tuples in parallel execution of continuous queries over a multiprocessing environment is proposed. A copy of the query plan is assigned to each of processing units in the multiprocessing environment. Dynamic and continuous routing of input data stream tuples among the graph constructed by these copies (called the QueryMega Graph) for each input tuple determines that, after getting processed by each processing unit (e.g., processor), to which next processor it should be forwarded. Selection of the proper next processor is performed such that the destination processor imposes the minimum tuple latency to the corresponding tuple, among... 

    Simultaneous variation-aware architecture exploration and task scheduling for MPSoC energy minimization

    , Article Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI ; 2011 , Pages 271-276 ; 9781450306676 (ISBN) Momtazpour, M ; Ghorbani, M ; Goudarzi, M ; Sanaei, E
    Abstract
    In nanometer-scale process technologies, the effects of process variations are observed in Multiprocessor System-on-Chips (MPSoC) in terms of variations in frequencies and leakage powers among the processors on the same chip as well as across different chips of the same design. Traditionally, worst-case values are assumed for these parameters and then a deterministic optimization technique is applied to the MPSoC application under design. We show that such worst-case-based approaches are not optimal with the increasing variation observed at system-level, and instead, statistical approaches should be employed. We consider the problem of simultaneously choosing MPSoC architecture and task... 

    Supporting non-contiguous processor allocation in mesh-based CMPs using virtual point-to-point links

    , Article Proceedings -Design, Automation and Test in Europe, DATE, 14 March 2011 through 18 March 2011 ; 2011 , Pages 413-418 ; 15301591 (ISSN) ; 9783981080179 (ISBN) Asadinia, M ; Modarressi, M ; Tavakkol, A ; Sarbazi Azad, H ; Sharif University of Technology
    2011
    Abstract
    In this paper, we propose a processor allocation mechanism for run-time assignment of a set of communicating tasks of input applications onto the processing nodes of a Chip Multiprocessor (CMP), when the arrival order and execution lifetime of the input applications are not known a priori. This mechanism targets the on-chip communication and aims to reduce the power and latency of the NoC employed as the communication infrastructure. In this work, we benefit from the advantages of non-contiguous processor allocation mechanisms, by allowing the tasks of the input application mapped onto disjoint regions (sub-meshes) and then virtually connecting them by bypassing the router pipeline stages of... 

    Helia: Heterogeneous interconnect for low resolution cache access in snoop-based chip multiprocessors

    , Article 28th IEEE International Conference on Computer Design, ICCD 2010, Amsterdam, 3 October 2010 through 6 October 2010 ; 2010 , Pages 84-91 ; 10636404 (ISSN) ; 9781424489350 (ISBN) Shafiee, A ; Shahidi, N ; Baniasad, A ; Sharif University of Technology
    2010
    Abstract
    In this work we introduce Heterogeneous Interconnect for Low Resolution Cache Access (Helia). Helia improves energy efficiency in snoop-based chip multiprocessors as it eliminates unnecessary activities in both interconnect and cache. This is achieved by using innovative snoop filtering mechanisms coupled with wire management techniques. Our optimizations rely on the observation that a high percentage of cache mismatches could be detected by utilizing a small subset but highly informative portion of the tag bits. Helia relies on the snoop controller to detect possible remote tag mismatches prior to tag array lookup. Power is reduced as a) our wire management techniques permit slow... 

    A new routing algorithm for irregular mesh NoCs

    , Article 2008 International SoC Design Conference, ISOCC 2008, Busan, 24 November 2008 through 25 November 2008 ; Volume 1 , 2008 , Pages I260-I264 ; 9781424425990 (ISBN) Samadi Bokharaei, V ; Shamaei, A ; Sarbaziazad, H ; Abbaspour, M ; Sharif University of Technology
    2008
    Abstract
    Network-on-Chips (NoCs) usually use regular mesh-based topologies.Regular mesh topologies are not always efficient because of power and area constraints which should be considered in designing system-on-chips.To overcome this problem,irregular mesh NoCs are used for which the design of routing algorithms is an important issue.This paper presents a novel routing algorithm for irregular mesh-based NoCs called "i-route". In contrast to other routing algorithms,this algorithm can be implemented on any arbitrary irregular mesh NoC without any change in the place of IPs. In this algorithm, messages are routed using only 2 classes of virtual channels. Simulation results show that using only 2... 

    The kautz mesh: a new topology for SoCs

    , Article 2008 International SoC Design Conference, ISOCC 2008, Busan, 24 November 2008 through 25 November 2008 ; Volume 1 , 2008 , Pages I300-I303 ; 9781424425990 (ISBN) Sabbaghi Nadooshan, R ; Sarbazi Azad, H ; Sharif University of Technology
    2008
    Abstract
    Nowadays networks-on-chip are emerging as a hot topic in IC designs with high integration. In addition to popular mesh topologies, other structures can also be considered especially in 3D VLSI design. The Kautz topology is one of the interconnection architectures for multiprocessors. In this paper we propose an efficient three dimensional layout for a novel 2D mesh structure based on the Kautz topology. Simulation results show that by using the third dimension, performance and latency can be improved compared to the 2D VLSI implementation. ©2008 IEEE  

    Design and synthesis of AKAM: A RISC asynchronous microprocessor

    , Article 2007 International Conference on Intelligent and Advanced Systems, ICIAS 2007, Kuala Lumpur, 25 November 2007 through 28 November 2007 ; 2007 , Pages 1318-1323 ; 1424413559 (ISBN); 9781424413553 (ISBN) Mirza Aghatabar, M ; Rasooli, A ; Jafarpour, B ; Sharif University of Technology
    2007
    Abstract
    Asynchronous microprocessors are more flexible to adapt to physical parameters, and have lower power consumption than synchronous microprocessors. In this paper we will introduce the design of an asynchronous microprocessor (V8-uRISC) and explore its design process compared to synchronous design. The processor is synthesized by Persia, an automatic tool for synthesizing asynchronous circuits. We have performed full functional test at various levels of design and synthesis. Our results show that an area overhead is expected for the asynchronous design as the cost for lower power and more robustness. ©2007 IEEE