Loading...
Search for: peak-power-consumption
0.005 seconds

    Design and Construction of Highly Stable pulsed Electrro-opticaly Q-Switched Nd:YAG Laser

    , M.Sc. Thesis Sharif University of Technology Nazari Khakshour, Abdollah (Author) ; Sadighi Bonabi, Rasoul (Supervisor)
    Abstract
    Nd:YAG laser is one of the most applicable lasers on laser researches where it’s basic wavelength and higher harmonics are used on different laser projects. It should be realized that it’s basic output has a wide pulse duration and lower peak power. Therefore, in order to increase the peak power the pulse duration is reduced by Q-switching.
    In this project, in the first step, based on flash-lamp pump Nd:YAG laser a 600 mj energy per 60 µs pulse is constructed. Then a pulse duration is shorten by order of more than 3000 via electro-optics Q-switching system where we could reach up to 19 ns pulses and laser peak power is increased up to several megawatts  

    Reliability-Aware Power Management for Heterogeneous Multicore Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Saberlatibari, Javad (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    In recent years, heterogeneous architectures have been widely used in digital system design, so that these heterogeneous platforms are employed to achieve low power consumption, high performance, and high reliability. In other words, heterogeneous multi-core systems are promising solutions to provide a wide range of possibilities in compromising the low power/energy consumption, high reliability, and high performance. Most heterogeneous systems have several different islands (in terms of core types, supply voltage, and frequency) that each of them consists of several homogeneous cores. Due to the heterogeneity, the values of power consumption, and reliability of the tasks are differentt,... 

    System-Level Policies to Reduce Power Consumption in Fault-Tolerant Embedded Systems

    , Ph.D. Dissertation Sharif University of Technology Ansari, Mohsen (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Technology scaling allows integrating multiple cores onto a single chip to make the mainstream for advanced embedded systems. However, technology scaling results in aggravating the reliability problem of on-chip systems because of increasing transient fault rate due to lower voltages and shrinking transistor dimensions that lead to smaller critical charges. Multicore systems provide a great opportunity to implement reliability mechanisms such as redundant multithreading (RMT) and process level redundancy. Task replication (e.g., RMT) is a well-established technique to achieve high reliability against transient faults. However, replicated executions may increase power consumption beyond the... 

    Peak Power Management in Fault-tolerant Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Ansari, Mohsen (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Technology scaling allows integrating multiple cores onto a single chip to make the mainstream for advanced embedded systems. However, technology scaling is envisaged to aggravate the reliability of on-chip systems due to increasing transient fault rate due to lower voltages and shrinking transistor dimensions that lead to smaller critical charges. Transient faults are typically resulted due to high-energy particle strikes in hardware and manifest as bit flips. Multi-core systems provide a great opportunity to implement reliability mechanisms such as redundant multithreading (RMT) and process level redundancy. Task replication (e.g. RMT) is a well-established technique to achieve high... 

    Desighning and Construction of a Passive Q Switched Nd:YAG Laser and Amplifier with Electrical Power Supply

    , M.Sc. Thesis Sharif University of Technology Soltani, Adel (Author) ; Sadighi-Bonabi, Rasoul (Supervisor)
    Abstract
    Nd:YAG laser is one of the most applicable laser for researches and development where it's basic wavelength and harmonics are used on different laser project. It's basic output has a wide pulse duration and low peak power ,therefore in order to increase the peak power the pulse duration is reduced by Q-switching.In this project by means of passive Q-switch technique an energy equivalent to 500 mj with 10to 20 ns pulse duration is extracted from the oscillator and the output energy is amplified to about 850mj in a single pass through amplifier. In this project we used saturable absorber for Q-switching because it is more optimum and simpler than other Q-switching techniques like... 

    Power Management Reliability-aware in Mixed-criticality Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Navardi, Mozhgan (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Mixed-Criticality Systems (MCSs) include tasks that have multiple levels of criticality and they operate in dierent modes. These systems bring benets such as energy and resource cost saving. However, there are challenges in how to manage available resources in order to achieve high utilization, low power consumption, and required reliability. In many cases, these goals are in conict with each other. For example, if ermal Design Power (TDP) was a constraint on the power consumption of the chip, an approach that increases the utilization, unaware of power consumption, can oversteps TDP and leads to permanent or transient faults. Hence aging balancing and task re-execution are utilized to... 

    Peak power management to meet thermal design power in fault-tolerant embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 30, Issue 1 , 1 January , 2019 , Page(s) 161 - 173 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Khaksar, A. Y ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    Multicore platforms provide great opportunity for implementation of fault-tolerance techniques to achieve high reliability in real-time embedded systems. Passive redundancy is well-suited for multicore platforms and a well-established technique to tolerate transient and permanent faults. However, it incurs significant power overheads, which go wasted in fault-free execution scenarios. Meanwhile, due to the Thermal Design Power (TDP) constraint, in some cases it is not feasible to simultaneously power on all cores on a multicore platform. Since TDP is the maximum sustainable power that a chip can consume, violating TDP makes some cores automatically restart or significantly reduce their... 

    Online peak power and maximum temperature management in multi-core mixed-criticality embedded systems

    , Article 22nd Euromicro Conference on Digital System Design, DSD 2019, 28 August 2019 through 30 August 2019 ; 2019 , Pages 546-553 ; 9781728128610 (ISBN) Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this work, we address peak power and maximum temperature in multi-core Mixed-Criticality (MC) systems. In these systems, a rise in peak power consumption may generate more heat beyond the cooling capacity. Additionally, the reliability and timeliness of MC systems may be affected due to excessive temperature. Therefore, managing peak power consumption has become imperative in multi-core MC systems. In this regard, we propose an online peak power management heuristic for multi-core MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and Dynamic Voltage and Frequency Scaling (DVFS). Specifically, our... 

    Peak power management to meet thermal design power in fault-tolerant embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 30, Issue 1 , 2019 , Pages 161-173 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Yeganeh Khaksar, A ; Salehi, M ; Ejlali, A. R ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Multicore platforms provide a great opportunity for implementation of fault-tolerance techniques to achieve high reliability in real-time embedded systems. Passive redundancy is well-suited for multicore platforms and a well-established technique to tolerate transient and permanent faults. However, it incurs significant power overheads, which go wasted in fault-free execution scenarios. Meanwhile, due to the Thermal Design Power (TDP) constraint, in some cases, it is not feasible to simultaneously power on all cores on a multicore platform. Since TDP is the maximum sustainable power that a chip can consume, violating TDP makes some cores automatically restart or significantly reduce their... 

    Peak power management to meet thermal design power in fault-tolerant embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 30, Issue 1 , 2019 , Pages 161-173 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Yeganeh Khaksar, A ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Multicore platforms provide a great opportunity for implementation of fault-tolerance techniques to achieve high reliability in real-time embedded systems. Passive redundancy is well-suited for multicore platforms and a well-established technique to tolerate transient and permanent faults. However, it incurs significant power overheads, which go wasted in fault-free execution scenarios. Meanwhile, due to the Thermal Design Power (TDP) constraint, in some cases, it is not feasible to simultaneously power on all cores on a multicore platform. Since TDP is the maximum sustainable power that a chip can consume, violating TDP makes some cores automatically restart or significantly reduce their... 

    Peak-power-aware energy management for periodic real-time applications

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 39, Issue 4 , 2020 , Pages 779-788 Ansari, M ; Yeganeh Khaksar, A ; Safari, S ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Two main objectives in designing real-time embedded systems are high reliability and low power consumption. Hardware replication (e.g., standby-sparing) can provide high reliability while keeping the power consumption under control. In this paper, we consider a standby-sparing system where the main tasks on primary cores are scheduled by our proposed peak-power-aware earliest-deadline-first policy while the backup tasks on spare cores are scheduled by our proposed peak-power-aware earliest-deadline-late policy to meet the chip thermal design power (TDP) constraint. These policies provide the best opportunity to shift the task executions as much as possible to minimize execution overlaps... 

    Meeting thermal safe power in fault-tolerant heterogeneous embedded systems

    , Article IEEE Embedded Systems Letters ; Volume 12, Issue 1 , 2020 , Pages 29-32 Ansari, M ; Pasandideh, M ; Saber Latibari, J ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Due to the system-level power constraints, it is encountered that not all cores in a multicore chip can be simultaneously powered-on at the highest voltage/frequency levels. Also, in the future technology nodes, reliability issues due to the susceptibility of systems to transient faults should be considered in multicore platforms. Therefore, two major objectives in designing multicore embedded systems are low energy/power consumption and high reliability. This letter presents an energy management system that optimizes the energy consumption such that it satisfies reliability target and meets timing, thermal design power (TDP) and thermal safe power (TSP) constraints. Toward the... 

    Power-Aware checkpointing for multicore embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 33, Issue 12 , 2022 , Pages 4410-4424 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Khdr, H ; Gohari Nazari, P ; Henkel, J ; Ejlali, A ; Hessabi, S ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Increasing the number of cores integrated on a single chip offers a great potential for the implementation of fault-tolerant techniques to achieve high reliability in real-time embedded systems. Checkpointing with rollback-recovery is a well-established technique to tolerate transient faults in multicore platforms. To consider the worst-case fault occurrence scenario, checkpointing technique requires to re-execute some parts of the tasks, and that might lead to simultaneous execution of task parts with high power consumptions, which eventually might result in a peak power increase beyond the thermal design power (TDP). Exceeding TDP can elevate on-chip temperatures beyond safe limits, and...