Loading...
Search for: power-model
0.015 seconds

    Design and modeling of a high single mode power long wavelength InGaAsP photonic crystal VCSEL

    , Article Australian Journal of Basic and Applied Sciences ; Volume 5, Issue 7 , 2011 , Pages 1064-1069 ; 19918178 (ISSN) Marjani, S ; Faez, R ; Marjani, A ; Sharif University of Technology
    2011
    Abstract
    In the present work, a new vertical cavity surface emitting laser (VCSEL) structure employing combined oxide layer and single defect photonic crystal index guiding layer has been investigated for L-band optical fiber application. The basic design goal was to obtain photonic crystal VCSEL (PhC VCSEL) with the high power, high slop efficiency and low threshold that operate at 1.55-1.6μm wavelength single mode region. By using the combination of photonic crystal and oxide layer, we have achieved high power VCSEL that operated fundamental mode. The influence of the hole etching depth of photonic crystal looking for the highest power and the lowest threshold current is also investigated  

    Optimal placement of frequently accessed IPs in mesh NoCs

    , Article 12th Asia-Pacific Computer Systems Architecture Conference, ACSAC 2007, Seoul, 23 August 2007 through 25 August 2007 ; Volume 4697 LNCS , 2007 , Pages 126-138 ; 03029743 (ISSN); 9783540743088 (ISBN) Moraveji, R ; Sarbazi Azad, H ; Abbaspour, M ; Sharif University of Technology
    Springer Verlag  2007
    Abstract
    In this paper, we propose the first interrelated power and latency mathematical model for the Networks-on-Chip (NoC) architecture with mesh topology. Through an analytical approach, we show the importance of tile selection in which the hot (frequently accessed) IP core is mapped. Taking into account the effect of blocking in both power and latency models, causes the estimated values to be more accurate. Simulation results confirm the reasonable accuracy of the proposed model. The major output of the model which is the average energy consumption per cycle in the whole network is the efficacious parameter that is most important and must be used by NoC designers. © Springer-Verlag Berlin... 

    Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Vol. 29, issue. 10 , 2010 , p. 1558-1571 ; ISSN: 02780070 Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    End-to-end delay, throughput, energy consumption, and silicon area are the most important design metrics of networks-on-chip (NoCs). Although several analytical models have been previously proposed for predicting such metrics in NoCs, very few of them consider the effect of message waiting time in the buffers of network routers for predicting overall power consumptions and none of them consider structural heterogeneity of network routers. This paper introduces two inter-related analytical models to compute message latency and power consumption of NoCs with arbitrary topology, buffering structure, and routing algorithm. Buffer allocation scheme defines the buffering space for each individual... 

    Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 29, Issue 10 , September , 2010 , Pages 1558-1571 ; 02780070 (ISSN) Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    2010
    Abstract
    End-to-end delay, throughput, energy consumption, and silicon area are the most important design metrics of networks-on-chip (NoCs). Although several analytical models have been previously proposed for predicting such metrics in NoCs, very few of them consider the effect of message waiting time in the buffers of network routers for predicting overall power consumptions and none of them consider structural heterogeneity of network routers. This paper introduces two inter-related analytical models to compute message latency and power consumption of NoCs with arbitrary topology, buffering structure, and routing algorithm. Buffer allocation scheme defines the buffering space for each individual... 

    Performance and power modeling and evaluation of virtualized servers in IaaS clouds

    , Article Information Sciences ; Volume 394-395 , 2017 , Pages 106-122 ; 00200255 (ISSN) Entezari Maleki, R ; Sousa, L ; Movaghar, A ; Sharif University of Technology
    Elsevier Inc  2017
    Abstract
    In this paper, Stochastic Activity Networks (SANs) are exploited to model and evaluate the power consumption and performance of virtualized servers in cloud computing. The proposed SAN models the physical servers in three different power consumption and provisioning delay modes, switching the status of the servers according to the workload of the corresponding cluster if required. The Dynamic Voltage and Frequency Scaling (DVFS) technique is considered in the proposed model for dynamically controlling the supply voltage and clock frequency of CPUs. Thus, Virtual Machines (VMs) on top a physical server can be divided into several power consumption and processing speed groups. According to the... 

    A comprehensive power-performance model for NoCs with multi-flit channel buffers

    , Article Proceedings of the International Conference on Supercomputing, 8 June 2009 through 12 June 2009, Yorktown Heights, NY ; 2009 , Pages 470-478 ; 9781605584980 (ISBN) Arjomand, M ; Sarbazi-Azad, H ; ACM SIGARCH ; Sharif University of Technology
    2009
    Abstract
    Large Multi-Processor Systems-on-Chip use Networks-on-Chip with a high degree of reusability and scalability for message communication. Therefore, network infrastructure is a crucial element affecting the overall system performance. On the other hand, technology improvements may lead to much energy consumption in micro-routers of an on-chip network. This necessitates an exhaustive analysis of NoCs for future designs. This paper presents a comprehensive analytical model to predict message latency for different data flows traversing across the network. This model considers channel buffers of multiple flits which were not previously studied in NoC context. Also, architectural descriptions of... 

    New approach to calculate energy on NoC

    , Article 2008 International Conference on Computer and Communication Engineering, ICCCE08: Global Links for Human Development, Kuala Lumpur, 13 May 2008 through 15 May 2008 ; 2008 , Pages 1098-1104 ; 9781424416929 (ISBN) Ghadiry, M. H ; Nadi, M ; Rahmati, D ; Sharif University of Technology
    2008
    Abstract
    Low scalability and power efficiency of the shared bus in SoCs is a motivation to use on chip networks instead of traditional buses. In this paper we have modified the Orion power model to reach an analytical model to estimate the average message energy in K-Ary n-Cubes with focus on the number of virtual channels. Afterward by using the power model and also the performance model proposed in [11] the effect of number of virtual channels on Energy-Delay product have been analyzed. In addition a cycle accurate power and performance simulator have been implemented in VHDL to verify the results. ©2008 IEEE  

    A performance and power analysis of WK-recursive and mesh networks for network-on-chips

    , Article 24th International Conference on Computer Design 2006, ICCD, San Jose, CA, 1 October 2006 through 4 October 2006 ; 2006 , Pages 142-147 Rahmati, D ; Kiasari, A. E ; Hessabi, S ; Sarbazi Azad, H ; Sharif University of Technology
    2006
    Abstract
    Network-on-Chip (NoC) has been proposed as an attractive alternative to traditional dedicated wires to achieve high performance and modularity. Power efficiency is one of the most important concerns in NoC architecture design. The choice of network topology is important in designing a low-power and high-performance NoC. In this paper, we propose the use of the WK-recursive networks to be used as the underlying topology in NoC. We have implemented VHDL hardware model of mesh and WK-recursive topologies and measured the latency results using simulation with these implementation. We also propose a novel approach in high level power modeling based on latency for these topologies and show that... 

    Using satellite data to extract volume-area-elevation relationships for Urmia Lake, Iran

    , Article Journal of Great Lakes Research ; Volume 39, Issue 1 , March , 2013 , Pages 90-99 ; 03801330 (ISSN) Sima, S ; Tajrishy, M ; Sharif University of Technology
    2013
    Abstract
    Urmia Lake in the northwest of Iran is the second largest hyper-saline lake worldwide. During the past two decades, a significant water level decline has occurred in the lake. The existing estimations for the lake water balance are widely variable because the lake bathymetry is unknown. The main focus of this study is to extract the volume-area-elevation (V-A-L) characteristics of Urmia Lake utilizing remote sensing data and analytical models. V-A-L equations of the lake were determined using radar altimetry data and their concurrent satellite-derived surface data. Next, two approximate models, a power model (PM) and a truncated pyramid model (TPM), were parameterized for Urmia Lake and... 

    Analytical leakage/temperature-aware power modeling and optimization for a variable speed real-time system

    , Article ACM International Conference Proceeding Series ; 2012 , Pages 81-90 ; 9781450314091 (ISBN) Mohaqeqi, M ; Kargahi, M ; Movaghar, A ; Sharif University of Technology
    2012
    Abstract
    We consider a DVS-enabled single-processor firm real-time (FRT) system with Poisson arrival jobs having exponential execution times and generally distributed relative deadlines. The queue size of the system bounds the number of jobs which may be available therein. Further, the processor speed depends on the number of jobs in the system which varies because of the job arrivals, service completions, and dead-line misses. Thus, the processor power consumption, includling both the dynamic and leakage powers, depends on the stochastic nature of the system. More specifically, the instantaneous dynamic power consumption lonely depends on the number of jobs at that moment. However, the instantaneous...