Loading...
Search for: reconfigurable
0.012 seconds
Total 229 records

    DRAPS: a framework for dynamic reconfigurable protocol stacks

    , Article Journal of Information Science and Engineering ; Volume 25, Issue 3 , 2009 , Pages 827-841 ; 10162364 (ISSN) Niamanesh, M ; Jalili, R ; Sharif University of Technology
    2009
    Abstract
    Forthcoming networked systems require mechanisms for on-the-fiy reconfiguration in their protocol stacks to be able to operate in different situations and networks. Since every protocol in a protocol stack has at least one peer protocol in another system, dynamic reconfiguration of a protocol raises the need for reconfiguration in the peer stack. For an assured dynamic (run-time) reconfiguration, executions of two peer protocols are stopped in a safe state, new protocols are initialized, and stacks switch to the new protocols at the same time. This paper proposes a software framework for dynamic reconfiguration of two communicating protocol stacks. A distributed algorithm is implemented in... 

    Topology specialization for networks-on-chip in the dark silicon era

    , Article Advances in Computers ; Volume 110 , 2018 , Pages 217-258 ; 00652458 (ISSN); 9780128153581 (ISBN) Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2018
    Abstract
    Following Moore's law, the number of transistors on chip has grown exponentially for decades. This growing transistor count, coupled with recent architecture and compiler advances, has resulted in an unprecedented exponential performance increase of computers. With the end of Dennard scaling, however, the power required to operate all transistors at the full performance level simultaneously grows across the technology generations. Consequently, chips will keep an increasing fraction of transistors power gated or dark to remain within the power envelope. The power-gated part of the chip, known as dark silicon, is expected to comprise a significant portion of the die real estate in new... 

    A framework to support run-time assured dynamic reconfiguration for pervasive computing environments

    , Article 2006 1st International Symposium on Wireless Pervasive Computing, Phuket, 16 January 2006 through 18 January 2006 ; Volume 2006 , 2006 , Pages 1-6 ; 0780394100 (ISBN); 9780780394100 (ISBN) Hemmati, H ; Niamanesh, M ; Jalili, R ; Sharif University of Technology
    2006
    Abstract
    With the increasing use of pervasive computing environments (PCEs), developing dynamic reconfigurable software in such environments becomes an important issue. The ability to change software components in running systems has advantages such as building adaptive, long-life, and self-reconfigurable software as well as increasing invisibility in PCEs. As dynamic reconfiguration is performed in error-prone wireless mobile systems frequently, it can threaten system safety. Therefore, a mechanism to support assured dynamic reconfiguration at run-time for PCEs is required. In this paper, we propose an Assured Dynamic Reconfiguration Framework (ADRF) with emphasis on assurance analysis. The... 

    Toward A Safe, Assured, and Dynamic Communication Protocol Stack

    , Ph.D. Dissertation Sharif University of Technology Niamanesh, Mahdi (Author) ; Jalili, Rasool (Supervisor)

    A novel simultaneous reconfiguration and capacitor switching method to improve distribution networks operation

    , Article 2014 14th International Conference on Environment and Electrical Engineering, EEEIC 2014 - Conference Proceedings ; May , 2014 , pp. 295-300 ; ISBN: 9781479946617 Ameli, A ; Davari-Nejad, E ; Kamyab, F ; Vakilian, M ; Haghifam, M. R ; Sharif University of Technology
    Abstract
    Due to the important role that distribution systems play in quality of power delivered to the customers, there has always been a great deal of interest in investigating different methods of efficiency enhancement for these networks. Two of these methods are Feeder Reconfiguration (FR) and Capacitor Allocation (CA); both have been widely employed to reduce losses and improve several other operational characteristics in electrical power distribution systems. As in FR process the topology of the network changes, it is necessary to change some previous settings; for instance: the capacity of capacitor banks in service in each bus, after each reconfiguration process. This is due to the fact that... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; April , 2016 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; Volume 46 , 2016 , Pages 122-135 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier B.V  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the... 

    Configuration design in scalable reconfigurable manufacturing systems (RMS); a case of single-product flow line (SPFL)

    , Article International Journal of Production Research ; 2017 , Pages 1-23 ; 00207543 (ISSN) Moghaddam, S. K ; Houshmand, M ; Fatahi Valilai, O ; Sharif University of Technology
    Abstract
    The dynamic nature of today’s manufacturing industry, which is caused by the intense global competition and constant technological advancements, requires systems that are highly adaptive and responsive to demand fluctuations. Reconfigurable manufacturing systems (RMS) enable such responsiveness through their main characteristics. This paper addresses the problem of RMS configuration design, where the demand of a single product varies throughout its production life cycle, and the system configuration must change accordingly to satisfy the required demand with minimum cost. A two-phased method is developed to handle the primary system configuration design and the necessary system... 

    On validity assurance of dynamic reconfiguration for component-based programs

    , Article Electronic Notes in Theoretical Computer Science ; Volume 159, Issue 1 , 2006 , Pages 227-239 ; 15710661 (ISSN) Niamanesh, M ; Fekrazad Nobakht, N ; Jalili, R ; Heydarian Dehkordi, F ; Sharif University of Technology
    2006
    Abstract
    Growing the need for long-life and high-available programs, dynamic reconfiguration is going to be an important research issue. Dynamic reconfiguration enables the software systems to change at runtime to decrease their down-time in case of any updating, upgrading or in any changes. Any invalid reconfiguration at runtime may lead programs into invalid states. In this paper, we investigate on validity of dynamic reconfiguration for component-based programs and propose validity conditions for it. We show that the problem of validity assurance in general is undecidable and there is no general-purpose algorithm to verify dynamic reconfiguration validity. To have a computable algorithm for... 

    Self-reconfiguration in highly available pervasive computing systems

    , Article Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 23 June 2008 through 25 June 2008, Oslo ; Volume 5060 LNCS , 2008 , Pages 289-301 ; 03029743 (ISSN) ; 3540692940 (ISBN); 9783540692942 (ISBN) Hemmati, H ; Jalili, R ; Sharif University of Technology
    2008
    Abstract
    High availability of software systems is an essential requirement for pervasive computing environments. In such systems self-adaptation, using dynamic reconfiguration is also a key feature. However, dynamic reconfiguration potentially decreases the system availability by making parts of the system temporary frozen, especially during incomplete or faulty execution of the reconfiguration process. In this paper, we propose Assured Dynamic Reconfiguration Framework (ADRF), consisting of run-time analysis phases, assuring the desired correctness and completeness of dynamic reconfiguration process. We also specify factors that affect availability of reconfigurable software in pervasive computing... 

    Towards dark silicon era in FPGAs using complementary hard logic design

    , Article Conference Digest - 24th International Conference on Field Programmable Logic and Applications, FPL 2014 ; Sept , 2014 , pp. 1 - 6 ; ISBN: 9783000446450 Ahari, A ; Khaleghi, B ; Ebrahimi, Z ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    While the transistor density continues to grow exponentially in Field-Programmable Gate Arrays (FPGAs), the increased leakage current of CMOS transistors act as a power wall for the aggressive integration of transistors in a single die. One recently trend to alleviate the power wall in FPGAs is to turn off inactive regions of the silicon die, referred to as dark silicon. This paper presents a reconfigurable architecture to enable effective fine-grained power gating of unused Logic Blocks (LBs) in FPGAs. In the proposed architecture, the traditional soft logic is replaced with Mega Cells (MCs), each consists of a set of complementary Generic Reconfigurable Hard Logic (GRHL) and a conventional... 

    HAFTA: Highly available fault-tolerant architecture to protect SRAM-based reconfigurable devices against multiple bit upsets

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 13, Issue 1 , November , 2013 , Pages 203-212 ; 15304388 (ISSN) Ghaderi, Z ; Miremadi, S. G ; Asadi, H ; Fazeli, M ; Sharif University of Technology
    2013
    Abstract
    Despite widespread use of SRAM-based reconfigurable devices (SRDs) in mainstream applications, their usage has been very limited in enterprise and safety-critical applications due to SRAM susceptibility to soft errors. Previous mitigation techniques to protect SRDs impose significant area and power overheads. Additionally, they suffer from susceptibility of configuration bits to multiple bit upsets (MBUs). In this paper, we present a highly available fault-tolerant architecture to protect SRD-based designs against MBUs in both configuration and user bits. In the proposed architecture, the entire design is duplicated with respect to the relative locations of logic blocks within the SRD and... 

    Configuration design in scalable reconfigurable manufacturing systems (RMS); a case of single-product flow line (SPFL)

    , Article International Journal of Production Research ; Volume 56, Issue 11 , 2018 , Pages 3932-3954 ; 00207543 (ISSN) Moghaddam, S. K ; Houshmand, M ; Fatahi Valilai, O ; Sharif University of Technology
    Taylor and Francis Ltd  2018
    Abstract
    The dynamic nature of today’s manufacturing industry, which is caused by the intense global competition and constant technological advancements, requires systems that are highly adaptive and responsive to demand fluctuations. Reconfigurable manufacturing systems (RMS) enable such responsiveness through their main characteristics. This paper addresses the problem of RMS configuration design, where the demand of a single product varies throughout its production life cycle, and the system configuration must change accordingly to satisfy the required demand with minimum cost. A two-phased method is developed to handle the primary system configuration design and the necessary system... 

    A Scheme for Detecting both Hardware Trojan Horses and Soft Errors in Reconfigurable Devices

    , M.Sc. Thesis Sharif University of Technology Ranjbar, Omid (Author) ; Bayat Sarmadi, Siavash (Supervisor) ; Asadi, Hossein (Supervisor)
    Abstract
    In recent years, due to various reasons, such as outsourcing, hardware security and trust have become a crucial issue and confrontation with hardware Trojan has become one of the important part of it. Widespread usage of reconfiguration devices in industry, due to various reasons like low cost design and short time to market, makes these devices appealing for inserting hardware Trojan. Additionally, reconfigurable devices are susceptible to soft errors. Inserting a hardware Trojan in a system by an attacker can leak some information or even cause the system to break down. In previous works, in order to detect hardware Trojan, some methods have been proposed which impose high area and... 

    An Efficient Reconfigurable Architecture in Embedded Processors

    , M.Sc. Thesis Sharif University of Technology Tamimi, Sajjad (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Nowadays, embedded processors are widely used in wide range of domains from low-power to safety-critical applications. By providing prominent features such as variant peripheral support and flexibility to partial or major design modifications, Field-Programmable Gate Arrays (FPGAs) are used in industry for implementing either an entire embedded system or a Hardware Description Language (HDL)-based processor, known as soft-core processor. FPGA-based designs, however, suffer from high power consumption, large die area, and low performance that hinders common use of soft-core processors. In this thesis, we present an efficient reconfigurable architecture to implement embedded processors in... 

    Design of Reconfigurable Hardware Security Module Based on Network Protocol Detection

    , M.Sc. Thesis Sharif University of Technology Zohouri, Hamid Reza (Author) ; Jahangir, Amir Hossein (Supervisor)
    Abstract
    Nowadays, in the presence of different types of computer attacks and different methods of eavesdropping on network communications, nobody can deny the importance of cryptography. Hardware Security Modules that are specifically designed for this purpose are widely used as a fast and reliable tool for encrypting data in computer networks. In this project, using the common and well-known FPGA platform and by leveraging the reconfigurability feature of this platform and also by adding a network protocol detection module to the traditional architecture of Hardware Security Modules, a novel module has been designed and implemented that can encrypt and decrypt data in a communication network, at... 

    Reducing the Energy Consumption of the Embedded Real-Time Systems with Reconfigurable Components

    , M.Sc. Thesis Sharif University of Technology Dastangoo, Ali (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Over the Recent Decade, the embedded systems have expanded to include a wide variety of products, ranging from digital cameras, to medical systems, to Radar and telecommunication systems, to sensor networks. Engineers strive to create ever smaller and faster products, many of which, such as battery operated systems, have stringent power requirements. Coupled with increasing pressure to decrease costs and time-to-market, the design constraints of embedded systems pose a serious challenge to embedded systems designers. Dynamic reconfigurable hardware can provide a flexible and efficient platform for satisfying the area, performance, cost, and power requirements of many embedded systems.... 

    Reconfigurable Architecture Design for Reverse Protocol Engineering

    , M.Sc. Thesis Sharif University of Technology Shahab Samani, Forough (Author) ; Jahangir, Amir Hossein (Supervisor)

    Fine-grained architecture in dark silicon era for SRAM-based reconfigurable devices

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; Vol. 61, Issue. 10 , 2014 , Pages 798-802 ; ISSN: 15497747 Yazdanshenas, S ; Asadi, H ; Sharif University of Technology
    Abstract
    In this brief, we present a fine-grained dark silicon architecture to facilitate further integration of transistors in static random access memory-based reconfigurable devices. In the proposed architecture, we present a technique to power off inactive configuration cells in nonutilized or underutilized logic blocks. We also propose a routing circuitry capable of turning off the configuration cells of connection blocks (CBs) and switch boxes (SBs) in the routing fabric. Experimental results carried out on the Microelectronics Center of North Carolina benchmark show that power consumption in configuration cells of lookup tables, CBs, and SBs can, on average, be reduced by 27%, 75%, and 4%,... 

    Traffic-aware buffer reconfiguration in on-chip networks

    , Article IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC, 5 October 2015 through 7 October 2015 ; Volume 2015-October , 2015 , Pages 201-206 ; 23248432 (ISSN) ; 9781467391405 (ISBN) Bashizade, R ; Sarbazi-Azad, H ; Sharif University of Technology
    IEEE Computer Society  2015
    Abstract
    Networks-on-Chip (NoCs) play a crucial role in the performance of Chip Multi-Processors (CMPs). Routers are one of the main components determining the efficiency of NoCs. As various applications have different communication characteristics and hence, buffering requirements, it is difficult to make proper decisions in this regard in the design time. In this paper, we propose a traffic-aware reconfigurable router which can adapt its buffers structure to the changes in the traffic of the network. Our proposed router manages to achieve up to 18.8% and 44.4% improvements in terms of postponing saturation rate under synthetic traffic patterns, and average packet latency for PARSEC applications,...