Loading...
Search for: static-random-access-storage
0.004 seconds
Total 83 records

    Multiple upsets tolerance in SRAM memory

    , Article 2007 IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans, LA, 27 May 2007 through 30 May 2007 ; 2007 , Pages 365-368 ; 02714310 (ISSN) Argyrides, C ; Zarandi, H. R ; Pradhan, D. K ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2007
    Abstract
    This paper presents a high level method called Matrix code to protect SRAM-based memories against multiple bit upsets. The proposed method combines hamming code and parity code to assure the reliability of memory in presence of multiple bit-upsets with low area and performance overhead. The method is evaluated using one million multiple-fault injection experiments; next reliability and MTTF of the protected memories are estimated based on fault injection experiments and several equations. The fault detection/correction coverage are also calculated and compared with previous methods i.e., Reed-Muller and hamming code. The results reveal that the proposed method behaves better than these... 

    Fast SEU detection and correction in LUT configuration bits of sram-based FPGAs

    , Article 21st International Parallel and Distributed Processing Symposium, IPDPS 2007, Long Beach, CA, 26 March 2007 through 30 March 2007 ; 2007 ; 1424409101 (ISBN); 9781424409105 (ISBN) Zarandi, H.R ; Miremadi, S. G ; Argyrides, C ; Pradhan, D. K ; Sharif University of Technology
    2007
    Abstract
    FPGAs are an appealing solution for the space-based remote sensing applications. However, in a low-earth orbit, configuration bits of SRAM-based FPGAs are susceptible to single-event upsets (SEUs). In this paper, a new protected CLB and FPGA architecture are proposed which utilize error detection and correction codes to correct SEUs occurred in LUTs of the FPGA. The fault detection and correction is achieved using online or offline fast detection and correction cycles. In the latter, detection and correction is performed in predefined error-correction intervals. In both of them error detections and corrections of k-input LUTs are performed with a latency of 2k clock cycle without any... 

    CLB-based detection and correction of bit-flip faults in SRAM-based FPGAs

    , Article 2007 IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans, LA, 27 May 2007 through 30 May 2007 ; 2007 , Pages 3696-3699 ; 02714310 (ISSN) Zarandi, H. R ; Miremadi, S. G ; Argyrides, C ; Pradhan, D. K ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2007
    Abstract
    This paper presents a bit-flip tolerance in SRAM-based FPGAs which suffers from high energy particles, alpha and neutrons in the atmosphere. For each of protections, the applicability, efficiency and implementation issues are discussed. Moreover, the area, the power and the protection capability of the methods are mentioned and compared with previous work Based on the results of experiments and their analysis, one method is selected as best one. The selected method is much better than previous work e.g., duplication with comparison, triple modular redundancy which impose two and three area and power overheads, respectively. © 2007 IEEE  

    Software-level instruction-cache leakage reduction using value-dependence of SRAM leakage in nanometer technologies

    , Article Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) ; Volume 6590 , 2011 , Pages 275-299 ; 03029743 (ISSN); 9783642194474 (ISBN) Goudarzi, M ; Ishihara, T ; Noori, H ; Stenstrom P ; Sharif University of Technology
    Abstract
    Within-die process variation is increasing in nanometer-scale process technologies. We observe that the same SRAM cell leaks differently under within-die process variations when storing 0 compared to 1; this difference can be up to 3 orders of magnitude at 60mV variation of threshold voltage (V th). Thus, leakage can be reduced if most often the values that dissipate less leakage are stored in the cache SRAM cells. We take advantage of this fact to reduce instruction-cache leakage by presenting three binary-optimization and software-level techniques: we (i) reorder instructions within basic-blocks so that their bits better match the less-leaky state of their corresponding cache cells, (ii)... 

    A 32kb 90nm 10T-cell sub-threshold SRAM with improved read and write SNM

    , Article 2013 21st Iranian Conference on Electrical Engineering ; May , 2013 ; 9781467356343 (ISBN) Hassanzadeh, S ; Zamani, M ; Hajsadeghi, K ; Sharif University of Technology
    Abstract
    The constraints of power saving have compelled SRAM designers to consider sub-threshold area as a viable choice. The biggest barrier of this progress is the stability of SRAM's cells and the correct operations. In this paper a 10T cell structure has been proposed with 90% read and 50% write SNM improvement in comparison to the conventional 6T cell. The hold SNM value is about the 6T cell SRAM. Also using differential read method in the proposed structure causes high read performance and using simpler sense amplifier. The symmetric configuration of this structure helps the SRAM has simpler layout and lower transistor mismatch. Using 90nm TSMC CMOS, 32kb 10T cell SRAM in sub-threshold area is... 

    A high density and low power cache based on novel SRAM cell

    , Article Journal of Computers ; Volume 4, Issue 7 , 2009 , Pages 567-575 ; 1796203X (ISSN) Azizi Mazreah, A ; Manzuri, M. T ; Mehrparvar, A ; Sharif University of Technology
    2009
    Abstract
    Based on the observation that dynamic occurrence of zeros in the cache access stream and cache-resident memory values of ordinary programs exhibit a strong bias towards zero, this paper presents a novel CMOS five-transistor SRAM cell (5T SRAM cell) for very high density and low power cache applications. This cell retains its data with leakage current and positive feedback without refresh cycle. Novel 5T SRAM cell uses one word-line and one bit-line and extra read-line control. The new cell size is 17% smaller than a conventional six-transistor SRAM cell using same design rules with no performance degradation. Simulation and analytical results show purposed cell has correct operation during... 

    SEU-mitigation placement and routing algorithms and their impact in SRAM-based FPGAs

    , Article 8th International Symposium on Quality Electronic Design, ISQED 2007, San Jose, CA, 26 March 2007 through 28 March 2007 ; 2007 , Pages 380-385 ; 0769527957 (ISBN); 9780769527956 (ISBN) Zarandi, H. R ; Miremadi, S. G ; Pradhan, D. K ; Mathew, J ; Sharif University of Technology
    2007
    Abstract
    In this paper, we propose a new SEU-mitigative placement and routing of circuits in the FPGAs which is based on the popular VPR tool. The VPR tool is modified so that during placement and routing, decisions are taken with awareness of SEU-mitigation. Moreover, no redundancies during the placement and routing are used but the algorithms are based on the SEU avoidance. Using the modified tool, i.e., S-VPR, the role of placement and routing algorithms on the fault-tolerance of circuits implemented on FPGAs is achieved. The secondary propose of this paper is to find which of placement or routing is more suited for decreasing SEU sensibility of circuits and to find whether these SEU sensibility... 

    COACH: Consistency aware check-pointing for nonvolatile processor in energy harvesting systems

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 9, Issue 4 , December , 2021 , Pages 2076-2088 ; 21686750 (ISSN) Hosseinghorban, A ; Hosseini Monazzah, A. M ; Bazzaz, M ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Recently, energy harvesting systems that utilize hybrid NVM-SRAM memory in their designs are introduced as a promising alternative for battery-operated systems. Since the ambient input power of an energy harvesting system fluctuates as the environmental conditions change, the system may stop the execution of programs until it receives enough energy to continue the execution. Resuming the execution of a program after the suspension may lead to data inconsistency in an energy harvesting system and threatens the correct functionality of the programs. In this article, we propose COACH, an energy-efficient consistency-aware memory scheme which guarantees the correct functionality and consistency... 

    Investigation of transient effects on FPGA-based embedded systems

    , Article ICESS 2005 - 2nd International Conference on Embedded Software and Systems, Xian, 16 December 2005 through 18 December 2005 ; Volume 2005 , 2005 , Pages 231-236 ; 0769525121 (ISBN); 9780769525129 (ISBN) Bakhoda, A ; Miremadi, S. G ; Zarandi, H. R ; Sharif University of Technology
    2005
    Abstract
    In this paper, we present an experimental evaluation of transient effects on an embedded system which uses SRAM-based FPGAs. A total of 7500 transient faults were injected into the target FPGA using Power Supply Disturbances (PSD) and a simple 8-bit microprocessor was implemented on the FPGA as the testbench. The results show that nearly 64 percent of faults cause system failures and about 63 percent of the faults lead to corruption of the configuration data of the FPGA chip. © 2005 IEEE  

    Experimental evaluation of transient effects on SRAM-based FPGA chips

    , Article 17th 2005 International Conference on Microelectronics, ICM 2005, Islamabad, 13 December 2005 through 15 December 2005 ; Volume 2005 , 2005 , Pages 251-255 ; 0780392620 (ISBN); 9780780392625 (ISBN) Bakhoda, A ; Miremadi, S. G ; Zarandi, H. R ; Sharif University of Technology
    2005
    Abstract
    This paper presents an experimental evaluation of transient effects on SRAM-based FPGAs. A total of 9000 transient faults were injected into the target FPGA using Power Supply Disturbances (PSD). The results show that nearly 60 percent of faults cause system failures and about 58 percent of the faults lead to corruption of the configuration data of the FPGA chip. © 2005 IEEE  

    Soft error mitigation in cache memories of embedded systems by means of a protected scheme

    , Article 2nd Latin-American Symposium on Dependable Computing, LADC 2005, Salvador, 25 October 2005 through 28 October 2005 ; Volume 3747 LNCS , 2005 , Pages 121-130 ; 03029743 (ISSN); 3540295720 (ISBN); 9783540295723 (ISBN) Zarandi, H. R ; Miremadi, S. G ; Sharif University of Technology
    2005
    Abstract
    The size and speed of SRAM caches of embedded systems are increasing in response to demands for higher performance. However, the SRAM caches are vulnerable to soft errors originated from energetic nuclear particles or electrical sources. This paper proposes a new protected cache scheme, which provides high performance as well as high fault detection coverage. In this scheme, the cache space is divided into sets of different sizes. Here, the length of tag fields associated to each set is unique and is different from the other sets. The other remained bits of tags are used for protecting the tag using a fault detection scheme e.g., generalized parity. This leads to protect the cache without... 

    High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement

    , Article Proceedings of the International Symposium on Low Power Electronics and Design ; 2011 , p. 79-84 ; ISSN: 15334678 ; ISBN: 9781612846590 Jadidi, A ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    A power-efficient reconfigurable architecture using PCM configuration technology

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2014 Ahari, A ; Asadi, H ; Khaleghi, B ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    Promising advantages offered by resistive NonVolatile Memories (NVMs) have brought great attention to replace existing volatile memory technologies. While NVMs were primarily studied to be used in the memory hierarchy, they can also provide benefits in Field-Programmable Gate Arrays (FPGAs). One major limitation of employing NVMs in FPGAs is significant power and area overheads imposed by the Peripheral Circuitry (PC) of NVM configuration bits. In this paper, we investigate the applicability of different NVM technologies for configuration bits of FPGAs and propose a power-efficient reconfigurable architecture based on Phase Change Memory (PCM). The proposed PCM-based architecture has been... 

    An auto-calibrated, dual-mode SRAM macro using a hybrid offset-cancelled sense amplifier

    , Article Microelectronics Journal ; Vol. 45, issue. 6 , 2014 , p. 781-792 Attarzadeh, H ; Sharifkhani, M ; Sharif University of Technology
    Abstract
    A dual-mode power and performance optimized SRAM is presented. Given the fact that the power and speed associated with the cell access time are directly related to the sense amplifier offset a new optimization platform based on the hybrid offset-cancelled current sense amplifier (OCCSA) [1] is presented. It is shown that the speed and power overhead of the offset cancellation can be optimized in a multi-variable auto-calibration loop to achieve the lowest power or the highest performance mode. The flexibility of having two degrees of freedom in OCCSA offers a significant bitline delay reduction with minimum power sacrifice in the high performance mode. The proposed scheme is verified using a... 

    A novel low power 8T-cell sub-threshold SRAM with improved read-SNM

    , Article Proceedings of the 2013 8th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2013 ; 2013 , Pages 35-38 ; 9781467360388 (ISBN) Hassanzadeh, S ; Zamani, M ; Hajsadeghi, K ; Saeidi, R ; Sharif University of Technology
    2013
    Abstract
    The fast growth of battery-operated portable applications has compelled the static random access memory (SRAM) designers to consider sub-threshold operation as a viable choice to reduce the power consumption. To increase the hold, read and write static noise margin (SNM) in the sub-threshold regime many structures has been proposed adding extra transistors to the conventional 6T-cell. In this paper we propose a new 8T-cell SRAM that shows 90% improvement in read SNM while write and hold SNM reduction can be ignored (this negligible reduction is due to the two stack transistors in the proposed 8T-cell). Benefiting differential output voltage in the read operation, sense amplifier design is... 

    High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 1 August 2011 through 3 August 2011 ; August , 2011 , Pages 79-84 ; 15334678 (ISSN) ; 9781612846590 (ISBN) Jadidi, A ; Arjomand, M ; SarbaziAzad, H ; Sharif University of Technology
    2011
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    A 32kb 90nm 9T-cell sub-threshold SRAM with improved read and write SNM

    , Article Proceedings of the 2013 8th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, DTIS 2013 ; 2013 , Pages 104-107 ; 9781467360388 (ISBN) Zamani, M ; Hassanzadeh, S ; Hajsadeghi, K ; Saeidi, R ; Sharif University of Technology
    Abstract
    The fast growth of battery operated devices has made low power SRAM designs a necessity in recent years. Moreover, embedded SRAM units have become an important block in modern SoCs. The SRAM performance is limited by the cell stability during different operation. By adding extra transistor to the conventional 6T-cell, hold, read and write static noise margin (SNM) can be improved in the sub-threshold SRAM. In this paper we proposed a new 9T-cell SRAM that shows 80% and 50% improvement in read and write SNM respectively in comparison to the conventional 6T-cell SRAM. Using stack transistors in the leakage current path, the new structure shows lower bitline leakage assisting the sense... 

    LATED: lifetime-aware tag for enduring design

    , Article Proceedings - 2015 11th European Dependable Computing Conference, EDCC 2015, 7 September 2015 through 11 September 2015 ; 2015 , Pages 97-107 ; 9781467392891 (ISBN) Ghaemi, S. G ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Nowadays, leakage energy constitutes up to 80% of total cache energy consumption and tag array is responsible for a considerable fraction of static energy consumption. An approach to reduce static energy consumption is to replace SRAMs by STT-RAMs with near zero leakage power. However, a problem of an STT-RAM cell is its limited write endurance. In spite of previous studies which have targeted the data array, in this study STT-RAMs are used in the L1 tag array. To solve the write endurance problem, this paper proposes an STTRAM/SRAM tag architecture. Considering the spatial locality of memory references, the lower significant bitlines of the tag update more. The SRAM part handles the updates... 

    Stress-aware routing to mitigate aging effects in SRAM-based FPGAs

    , Article 26th International Conference on Field-Programmable Logic and Applications, FPL 2016, 29 August 2016 through 2 September 2016 ; 2016 ; 9782839918442 (ISBN) Khaleghi, B ; Omidi, B ; Amrouch, H ; Henkel, J ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Continuous shrinking of transistor size to provide high computation capability along with low power consumption has been accompanied by reliability degradations due to e.g., aging phenomenon. In this regard, with huge number of configuration bits, Field-Programmable Gate Arrays (FPGAs) are more susceptible to aging since aging not only degrades the performance, it may additionally result in corrupting the configuration cells and thus causing permanent circuit malfunctioning. While several works have investigated the aging effects in Look-Up Tables (LUTs), the routing fabric of these devices is seldom studied - even though it contributes to the majority of FPGAs' resources and configuration... 

    Circuit-aging modeling based on dynamic MOSFET degradation and its verification

    , Article International Conference on Simulation of Semiconductor Processes and Devices, SISPAD, 7 September 2017 through 9 September 2017 ; Volume 2017-September , 2017 , Pages 97-100 ; 9784863486102 (ISBN) Rohbani, N ; Miyamoto, H ; Kikuchihara, H ; Navarro, D ; Maiti, T. K ; Ma, C ; Miura Mattausch, M ; Miremadi, S. G ; Mattausch, H. J ; Sharif University of Technology
    Abstract
    The reported investigation aims at developing a compact model for circuit-aging simulation. The model considers dynamic trap-density increase during circuit operation in a consistent way. The model has been applied to an SRAM cell, where it is believed that the NBTI effect dominates. Our simulation verifies that the hot-carrier effect has a compensating influence on the NBTI aging of SRAM cells. © 2017 The Japan Society of Applied Physics