Loading...
Search for: stt-mram
0.006 seconds
Total 26 records

    Improvement of the in-Memory Automata Processor Accelerators using Emerging Memories

    , M.Sc. Thesis Sharif University of Technology Yazdanpanah, Ali (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Non-deterministic finite automata (NFA) are an elementary type of Turing machines with very high processing power. NFA processors provide parallelism at the data and task level because they can be in several different output states in one clock cycle. Implementing such machines with memory is a good strategy because if we consider each of the memory columns as a state, by selecting a row of the memory, we can activate several states at the same time, which is an implementation of NFA. NFA-based automata processors were first introduced by Micron and were very powerful for issues such as pattern matching, DNA sequencing, or regular expressions and, in general, for machine learning topics.... 

    A Non-volatile Processor Cache Architecture with Multi-retention Time Intervals

    , M.Sc. Thesis Sharif University of Technology Rezaei Firuzkuhi, Mahsa (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Traditional multi-level SRAM-based cache hierarchies, especially in the context of chip multiprocessors (CMPs), present many challenges in area requirements, power consumption, and design complexity. STT-RAM has received increasing attention because of its attractive features:good scalability, zero standby power, non-volatility and radiation hardness. The use of STT-RAM technology in the last level on-chip caches has been proposed as it minimizes cache leakage power with technology scaling down. Furthermore, the cell area of STT-RAM is only 1/4 that of SRAM. This allows for a much larger cache with the same die footprint, improving overall system... 

    Prolonging Lifetime of a STT-RAM Last-Level Cache in a Multi-Core Chip Multi-Processor

    , M.Sc. Thesis Sharif University of Technology Jokar, Mohamad Reza (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Emerging non-volatile memory technologies such as Spin- Transfer Torque RAM (STT-RAM) or Resistive RAM (ReRAM) can increase the capacity of the last-level cache (LLC) in a latency and power-efficient manner. These technologies endure between 109 to 1012 writes per cell, making a non-volatile cache (NV-cache) with a lifetime of dozens of years under ideal conditions. However, non-uniformity in writes to different cache lines can considerably reduce the NV-cache lifetime to few months. Writes to cache lines can be made uniformly with wear-leveling. A suitable wear-leveling for NV-cache should not incur high storage and performance overheads. We propose a novel, simple, and effective... 

    ORIENT: organized interleaved ECCs for new STT-MRAM caches

    , Article Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018 ; Volume 2018-January , 19 April , 2018 , Pages 1187-1190 ; 9783981926316 (ISBN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Sharif University of technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) is a promising alternative to SRAM in cache memories. However, STT-MRAMs face with high probability of write errors due to its stochastic switching behavior. To correct the write errors, Error-Correcting Codes (ECCs) used in SRAM caches are conventionally employed. A cache line consists of several codewords and the data bits are selected in such a way that the maximum correction capability is provided based on the error patterns in SRAMs. However, the different write error patterns in STT-MRAM caches leads to inefficiency of conventional ECC configurations. In this paper, first we investigate the efficiency of ECC configurations... 

    Robin: incremental oblique interleaved ECC for reliability improvement in STT-MRAM caches

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 21 January 2019 through 24 January 2019 ; 2019 , Pages 173-178 ; 9781450360074 (ISBN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; ACM SIGDA; Cadence Design Systems, Inc.; CEDA; EIC; IEEE CAS; IPSJ ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) is a promising alternative for SRAMs in on-chip cache memories. Besides all its advantages, high error rate in STT-MRAM is a major limiting factor for on-chip cache memories. In this paper, we first present a comprehensive analysis that reveals that the conventional Error-Correcting Codes (ECCs) lose their efficiency due to data-dependent error patterns, and then propose an efficient ECC configuration, so-called ROBIN, to improve the correction capability. The evaluations show that the inefficiency of conventional ECC increases the cache error rate by an average of 151.7% while ROBIN reduces this value by more than 28.6x. © 2019 Association for... 

    Exploiting Imprecise Non-volatile Memories for Soft Real-time Embedded Systems to Achieve Low Energy Consumption

    , M.Sc. Thesis Sharif University of Technology Bahrami, Fahimeh (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Spin-Transfer-Torque-RAM (STT-RAM) has recently been widely accepted as a promising replacement for SRAM technology through the technology scaling due to its high density, zero standby power and comparable-to-SRAM read access latency. However, there are two major obstacles to use STT-RAM, namely, high write access latency and energy. In this study, we propose two approaches to solve these challenges in embedded systems. The conventional latency of the STT-RAM write operation is 10ns and lowering the write latency causes the required write current exponentially increase, leading to a larger memory cell area and a shorter memory lifetime. As the first proposed method, we have assessed the... 

    Improving the Reliability of the STT-RAM Caches Against Transient Faults

    , M.Sc. Thesis Sharif University of Technology Azad, Zahra (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Cache memories occupy a large portion of processors chip area. According to academic and industrial reports, the dominant effect of leakage current in less than 40-nm technology nodes has led to serious challenges in scalability and energy consumption of SRAM and DRAM memories. To overcome this challenge, different types of non-volatile memories have been introduced. Among them, Spin-Transfer Torque Random Access Memory (STT-RAM) memory is known as the best candidate to replace SRAM in the cache memories, due to its high density and low access latency. Despite their advantages over SRAMs, several problems in STT-RAM need to be addressed to make it applicable in cache memories. The most... 

    Improving Reliability of STT-MRAM Caches against Read Disturbance Errors

    , M.Sc. Thesis Sharif University of Technology Aliagha, Ensieh (Author) ; Miremadi, Ghasem (Supervisor)
    Abstract
    On-chip caches are regarded as a solution for increasing performance gap between main memory and CPU. In recent years, with the development in the performance of processing cores, the demands for larger on-chip caches are also increased. With the technology scaling trend, SRAM-based on-chip caches suffer from limited scalability, high leakage power consumption and vulnerability to soft errors. Among emerging non-volatile memories, STT-MRAMs are the most promising alternative for SRAMs in large last-level on-chip caches due to their higher density and near zero leakage power. However, the reliability of STT-MRAMs is threatened by soft and hard errors. Soft errors in STT-MRAMs can be... 

    A High-Performance and Power-Efficient Design of Memory Hierarchy in Multi-Core Systems Using Non-Volatile Technologies

    , Ph.D. Dissertation Sharif University of Technology Arjomand, Mohammad (Author) ; Sarbazi-Azad, Hamid (Supervisor)
    Abstract
    Ever increasing number of on-chip processors coupled with the trend towards rising memory footprints of the programs increases the demand for larger cache and main memory to hide the long latency of disk system. During the last three decades, SRAM- and DRAM-based memory successfully kept pace with this capacity demand by exponential reduction in cost per bit. Feedbacks from industry also confirms that entering sub-20nm technology era with dominant role of leakage power, however, SRAM and DRAM memories are confronting serious scalability and power limitations. To this end, researchers always pursuit some circuit-level and architectural proposals for incorporating non-volatile technologies in... 

    Reliability Improvement of STT-MRAM Memories in Data Storage Systems

    , Ph.D. Dissertation Sharif University of Technology Cheshmikhani, Elham (Author) ; Asadi, Hossein (Supervisor) ; Farbeh, Hamed (Co-Supervisor)
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promising replacement for SRAM technology in cache memories. Despite its high-density, non-volatility, near-zero leakage power, and immunity to radiation-induced particle strikes as the major advantages, STT-MRAM-based cache memory suffers from high error rates mainly due to retention failure, read disturbance, and write failure. Despite its high-density, non-volatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM suffers from high error rates. These errors, which are mainly retention failure, read disturbance, and write failure, are the major reliability challenge in STT-MRAM caches.... 

    An STT-MRAM Cache Management Scheme for Retention Failure Reduction

    , M.Sc. Thesis Sharif University of Technology Mohammadi, Abdollah (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) is the most promising nonvolatile memory to replace SRAM technology in the Last-Level Cache (LLC) due to its benefits such as high density, near-zero cell leakage, and immunity to soft errors.However, due to its high retention failure and read disturbance rates in the downscaled technologies and the low data access rate in the LLC and the high number of read accesses, retention failure and read disturbance have become the main reliability challenges for STT-MRAM cache memory. The existing approaches to overcome these challenges impose significant area and performance overhead or adversely affect the other types of failures. In this thesis, we... 

    Enhancing Reliability of STT-MRAM Caches by Eliminating Read Disturbance Accumulation

    , Article 22nd Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, 25 March 2019 through 29 March 2019 ; Pages 854-859 , 2019 , Pages 854-859 ; 9783981926323 (ISBN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; ACM Special Interest Group on Design Automation (SIGDA); Electronic System Design (ESD) Alliance; et al.; European Design and Automation Association (EDAA); European Electronic Chips and Systems Design Initiative (ECSI); IEEE Council on Electronic Design Automation (CEDA) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) as one of the most promising replacements for SRAMs in on-chip cache memories benefits from higher density and scalability, near-zero leakage power, and non-volatility, but its reliability is threatened by high read disturbance error rate. Error-Correcting Codes (ECCs) are conventionally suggested to overcome the read disturbance errors in STT-MRAM caches. By employing aggressive ECCs and checking out a cache block on every read access, a high level of cache reliability is achieved. However, to minimize the cache access time in modern processors, all blocks in the target cache set are simultaneously read in parallel for tags comparison operation... 

    A Novel STT-RAM Architecture for Last Level Shared Caches in GPUs

    , M.Sc. Thesis Sharif University of Technology Samavatian, Mohammad Hossein (Author) ; Sarbazi-Azad, Hamid (Supervisor)
    Abstract
    Due to the high processing capacity of GPGPUs and their requirement to a large and high speed shared memory between thread processors clusters, exploiting Spin-Transfer Torque (STT) RAM as a replacement with SRAM can result in significant reduction in power consumption and linear enhancement of memory capacity in GPGPUs. In the GPGPU (as a many-core) with ability of parallel thread executing, advantages of STT-RAM technology, such as low read latency and high density, could be so effective. However, the usage of STT-RAM will be grantee applications run time reduction and growth threads throughput, when write operations manages and schedules to have least overhead on read operations. The... 

    Reliability Improvement of On-chip Memories

    , Ph.D. Dissertation Sharif University of Technology Farbeh, Hamed (Author) ; Miremadi, Ghasem (Supervisor)
    Abstract
    Reliability, performance, and energy consumption are among the most important constraints that should be satisfied in modern processors design. More than 60% of the chip area is occupied by on-chip SRAM memories and they not only contribute in a large fraction of energy consumption, but also are the most error-prone components. Radiation-induced soft errors in on-chip memories are a major concern in modern processors design. Although Single Event Upsets (SEUs) have been known to be the main concern regarding SRAM memory reliability over the past decades, with the continued downscaling of technology, the occurrence rate of Multiple-Bit Upsets (MBUs) is comparable to that of SEUs in today’s... 

    Reliability Improvement in Non-Volatile On-Chip Memories for Embedded Applications

    , Ph.D. Dissertation Sharif University of Technology Hosseini Monazzah, Amir Mahdi (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    With the technology scaling trend in recent years, leakage power has become a major challenge for SRAM-based on-chip memories. According to the recent reports, SRAM-based on-chip memories contribute to more than half of the processors’ power consumption. Accordingly, in recent years, researchers have tried to find an alternative technology for SRAMs in on-chip memories. The International Technology Roadmap for Semiconductors (ITRS) recently announced that STT-MRAMs are the most promising technology to replace SRAMs. While STT-MRAMs benefit from low energy consumption, high endurance, and high density compared to other non-volatile memory technologies, comparing with SRAMs, STT-MRAMs have... 

    Improving the Performance of Non-Volatile Memory based CNN Accelerators

    , Ph.D. Dissertation Sharif University of Technology Jasemi, Masoumeh Sadat (Author) ; Hessabi, Shaahin (Supervisor) ; Bagherzadeh, Nader (Co-Supervisor)
    Abstract
    Today convolutional neural networks (CNN) are very popular due to their high accuracy and robustness. As the size and complexity of CNNs grow, the demand for larger on-chip memories also increases. Given that off-chip access memory has a high cost, one solution is to enlarge on-chip caches by employing emerging multi-level Cell (MLC) STT-RAMs. This memory provides higher capacity at the cost of lower reliability. The root cause of low reliability of MLC STT-RAM is failure of read and write operations. However, MLC STT-RAM and CNNs are perfect matches in the sense that in one hand MLC STT-RAM provides higher capacity, on the other hand CNN can tolerates moderate level of inaccuracy and low... 

    TA-LRW: A replacement policy for error rate reduction in STT-MRAM caches

    , Article IEEE Transactions on Computers ; 2018 ; 00189340 (ISSN) Cheshmikhani, E ; Farbeh, H ; Miremadi, S. G ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    As technology process node scales down, on-chip SRAM caches lose their efficiency because of their low scalability, high leakage power, and increasing rate of soft errors. Among emerging memory technologies, Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promising replacement for SRAM-based cache memories. The main advantages of STT-MRAM are its non-volatility, near-zero leakage power, higher density, soft-error immunity, and higher scalability. Despite these advantages, high error rate in STT-MRAM cells due to retention failure, write failure, and read disturbance threatens the reliability of cache memories built upon STT-MRAM technology. The error rate is significantly... 

    REACT: Read/write error rate aware coding technique for emerging STT-MRAM caches

    , Article IEEE Transactions on Magnetics ; Volume 55, Issue 5 , 2019 ; 00189464 (ISSN) Aliagha, E ; Hosseini Monazzah, A. M ; Farbeh, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-transfer torque magnetic RAMs (STT-MRAMs) are the most promising alternative for static random-access memories in large last-level on-chip caches due to their higher density and near-zero leakage power. However, the reliability of STT-MRAMs is threatened by high probability of read disturbance and write failure. Both read disturbance and write failure, which cause a soft error in the cache cells, have an asymmetric behavior. Read disturbance occurs only in STT-MRAM cells storing '1' value, and write failure error rate in a → 1 transition is much higher than that in a 1 → 0 transition. In this paper, we propose Read/write Error-rate Aware Coding Technique (REACT) to improve the... 

    A system-level framework for analytical and empirical reliability exploration of stt-mram caches

    , Article IEEE Transactions on Reliability ; 2019 ; 00189529 (ISSN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-transfer torque magnetic RAM (STT-MRAM) is known as the most promising replacement for static random access memory (SRAM) technology in large last-level cache memories (LLC). Despite its high density, nonvolatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM-based cache memory suffers from high error rates mainly due to retention failure (RF), read disturbance, and write failure. Existing studies are limited to estimate the rate of only one or two of these error types for STT-MRAM cache. However, the overall vulnerability of STT-MRAM caches, whose estimation is a must to design cost-efficient reliable caches, has not been studied previously. In... 

    TA-LRW: A replacement policy for error rate reduction in stt-mram caches

    , Article IEEE Transactions on Computers ; Volume 68, Issue 3 , 2019 , Pages 455-470 ; 00189340 (ISSN) Cheshmikhani, E ; Farbeh, H ; Miremadi, S. G ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    As technology process node scales down, on-chip SRAM caches lose their efficiency because of their low scalability, high leakage power, and increasing rate of soft errors. Among emerging memory technologies, Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promising replacement for SRAM-based cache memories. The main advantages of STT-MRAM are its non-volatility, near-zero leakage power, higher density, soft-error immunity, and higher scalability. Despite these advantages, high error rate in STT-MRAM cells due to retention failure, write failure, and read disturbance threatens the reliability of cache memories built upon STT-MRAM technology. The error rate is significantly...