Loading...
Search for: system-on-chips
0.01 seconds
Total 47 records

    An improved scheme for pre-computed patterns in core-based SoC architecture

    , Article Proceedings of 2016 IEEE East-West Design and Test Symposium, EWDTS 2016, 14 October 2016 through 17 October 2016 ; 2017 ; 9781509006939 (ISBN) Sadredini, E ; Rahimi, R ; Foroutan, P ; Fathy, M ; Navabi, Z ; Sharif University of Technology
    Abstract
    By advances in technology, integrated circuits have come to include more functionality and more complexity in a single chip. Although methods of testing have improved, but the increase in complexity of circuits, keeps testing a challenging problem. Two important challenges in testing of digital circuits are test time and accessing the circuit under test (CUT) for testing. These challenges become even more important in complex system on chip (SoC) zone. This paper presents an improved scheme for generating pre-computed test patterns in core-based systems on chip. This approach reduces the number of pre-computed test patterns and as the result, test application time (TAT) will be decreased.... 

    Yield-driven design-time task scheduling techniques for multi-processor system on chips under process variation: A comparative study

    , Article IET Computers and Digital Techniques ; Volume 9, Issue 4 , 2015 , Pages 221-229 ; 17518601 (ISSN) Momtazpour, M ; Assare, O ; Rahmati, N ; Boroumand, A ; Barati, S ; Goudarzi, M ; Sharif University of Technology
    Institution of Engineering and Technology  2015
    Abstract
    Process variation has already emerged as a major concern in design of multi-processor system on chips (MPSoC). In recent years, there have been several attempts to bring variability awareness into the task scheduling process of embedded MPSoCs to improve performance yield. This study attempts to provide a comparative study of the current variation-aware design-time task and communication scheduling techniques that target embedded MPSoCs. To this end, the authors first use a sign-off variability modelling framework to accurately estimate the frequency distribution of MPSoC components. The task scheduling methods are then compared in terms of both the quality of the final solution and the... 

    A game theoretical thermal - aware run-time task synchronization method for multiprocessor systems-on-chip

    , Article Proceedings - 15th Euromicro Conference on Digital System Design, DSD 2012 ; Article number 6386970 , 5 -8 September , 2012 , pp. 759-765 ; ISBN: 9780769547985 Asgarieh, Y ; Khabbazian, M. H ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    This paper presents a distributed run-time task synchronization method for multicore processors aiming to reduce the average power consumption of the chip and satisfy a given thermal constraint, while imposing no performance overhead. Being built on the game theory concepts, this is achieved by dynamically changing the frequency of each individual core based on its current workload iteratively until converging to an optimal point. In this work we target two thermal constraints: keeping (1) the core peak temperature and, (2) thermal gradient across the cores below a predefined threshold. The results show that the proposed framework can find the appropriate frequency for each core based on the... 

    Application-aware topology reconfiguration for on-chip networks

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 19, Issue 11 , 2011 , Pages 2010-2022 ; 10638210 (ISSN) Modarressi, M ; Tavakkol, A ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we present a reconfigurable architecture for networks-on-chip (NoC) on which arbitrary application-specific topologies can be implemented. When a new application starts, the proposed NoC tailors its topology to the application traffic pattern by changing the inter-router connections to some predefined configuration corresponding to the application. It addresses one of the main drawbacks of the existing application-specific NoC optimization methods, i.e., optimization of NoCs based on the traffic pattern of a single application. Supporting multiple applications is a critical feature of an NoC when several different applications are integrated into a single modern and complex... 

    A SystemC Transaction Level Modeling of an ARM Processor

    , M.Sc. Thesis Sharif University of Technology Kouchaki, Mohammad Reza (Author) ; Vosughi Vahdat, Bijan (Supervisor) ; Ghorshi, Mohammad Ali (Supervisor)
    Abstract
    Advanced RISC Machines (ARM) are an example of a simple processor used to accomplish simple processing tasks in many applications. They can be found in PDA’s, mp3 players, and other portable electronic devices. ARM processors have small instruction sets and basic processor architecture, and they can be modeled in transaction level by SystemC. SystemC is one of many high level programming languages used to write hardware descriptive codes. We have chosen TLM in SystemC so that abstract data types can be used for higher level modeling and faster simulation. System architects and embedded software developers are accepting transaction level modeling into their design flow because it addresses... 

    System Level Communication Testing Considering Functionality

    , M.Sc. Thesis Sharif University of Technology Karimi, Elmira (Author) ; Tabandeh, Mahmoud (Supervisor) ; Navabi, Zainalabedin (Co-Advisor)
    Abstract
    Due to the development of electronics, technology has entered new levels of integration on a single chip, called the System-on-Chip (SoC) design. Currently a SoC may contain various Intellectual Property (IP) cores with different interface protocols. For typical SoC communication, designers implement numerous standards such as Avalon from Altera and AMBA from ARM. These standards have different topologies with their own properties and are suitable for specific applications, But the challengeable problem is testing interconnects between cores. In testing process, important elements of a bus that should be tested are interconnections between cores (wires), multiplexers, arbiters, decoders, and... 

    Fuzzy-Based Routing in Irregular Mesh Noc

    , M.Sc. Thesis Sharif University of Technology Rezaei Mayahi Nejad, Mehdi (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    In past decades, we have seen the rise of integration density in chips making it possible to design a whole system on a single chip. The previously designed interconnection architectures for multiprocessors systems cannot directly be applied in on-chip systems (especially when the number of processor elements increases) since they require a different type of a cost-performance trade-off. This is why the interconnection networks of systems-on-chip (SoC) are such a problem. Network-on-chip (NoC) was being proposed as a scalable and reusable communication platform for SoCs, which makes use of the network model to develop efficient on-chip communication infrastructures. The NoC has a layered and... 

    Process-Variation-Aware Configuration Selection of Configurable MPSOC for Power-Yield Maximization

    , M.Sc. Thesis Sharif University of Technology Izadyar, Hamideh (Author) ; Goudarzi, Maziar (Supervisor)
    Abstract
    Process Variation is seen as statistical variations in leakage current and delay of transistors in nano-scale technologies. The amount of process variations increase as the size of transistors decrease by technology scaling such that those effects can be seen in frequency of MPSoC (Multi-Processor System-on-Chip) cores and their leakage power deviation. These variations cause the tasks duration and power consumption fluctuate in different processors in an MPSoC instance. Consequently, some chip instances of the same MPSoC may consume more time and power than their considered limitations. Hence considering the process variation is necessary and required for MPSoC optimization at different... 

    Task migration in three-dimensional meshes

    , Article Journal of Supercomputing ; Vol. 56, issue. 3 , 2011 , p. 328-352 ; ISSN: 09208542 Bargi, A ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    As a result of the emerging use of mesh-based multicomputers (and recently mesh-based multiprocessor systems-on-chip), issues related to processor management have attracted much attention. In a mesh-based multiprocessor, after repeated submesh allocations and de-allocations, the system network may be fragmented, i.e. there might be unallocated nodes in the network. As a result, in a system with contiguous processor allocation, no new tasks can start running due to the lack of enough free adjacent processors to form a suitable submesh. Although there might be enough free processors available, they remain idle until the allocator can find a set of adjacent free nodes forming a submesh to be... 

    Sum capacity bounds and design of errorless codes for binary asynchronous CDMA systems

    , Article 2012 19th International Conference on Telecommunications, ICT 2012, 23 April 2012 through 25 April 2012, Jounieh ; 2012 ; 9781467307475 (ISBN) Dashmiz, S ; Mansouri, S. M ; Najafi, A ; Marvasti, F ; Sharif University of Technology
    IEEE  2012
    Abstract
    In this paper, some codes are designed for a binary chip-asynchronous CDMA system which guarantee errorless communication in the absence of noise. These codes also show good performance for noisy channels. In addition, lower and upper bounds for the sum channel capacity are derived for finite and asymptotic cases with the assumption of both noiseless and noisy channels. The results are derived assuming that user delays are known at the receiver end. The performance of proposed codes in the noisy case is also compared to both Gold sequences and a similar class of binary sequences with constrained amount of correlation  

    Task migration in three-dimensional meshes

    , Article Journal of Supercomputing ; Volume 56, Issue 3 , March , 2011 , Pages 328-352 ; 09208542 (ISSN) Bargi, A ; Sarbazi Azad, H ; Sharif University of Technology
    2011
    Abstract
    As a result of the emerging use of mesh-based multicomputers (and recently mesh-based multiprocessor systems-on-chip), issues related to processor management have attracted much attention. In a mesh-based multiprocessor, after repeated submesh allocations and de-allocations, the system network may be fragmented, i.e. there might be unallocated nodes in the network. As a result, in a system with contiguous processor allocation, no new tasks can start running due to the lack of enough free adjacent processors to form a suitable submesh. Although there might be enough free processors available, they remain idle until the allocator can find a set of adjacent free nodes forming a submesh to be... 

    An efficient routing algorithm for irregular mesh NoCs

    , Article ISCAS 2010 - 2010 IEEE International Symposium on Circuits and Systems: Nano-Bio Circuit Fabrics and Systems, 30 May 2010 through 2 June 2010, Paris ; 2010 , Pages 3228-3231 ; 9781424453085 (ISBN) Mahdavinia, P ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    Many researchers favor the mesh topology as the underlying topology of the communication infrastructure of modern SoCs because of its regularity and layout efficiency. However, variability in size and shape of modules used in systems-on-chip has resulted in the use of irregular meshes for practical NoCs. In this paper, we propose a deadlock free routing algorithm for irregular mesh NoCs. Experimental results confirm that the proposed algorithm exhibits a better performance in terms of message latency and power consumption compared to other known routing algorithms for irregular mesh NoCs  

    Assertion-based debug infrastructure for SoC designs

    , Article 19th International Conference on Microelectronics, ICM, Cairo, 29 December 2007 through 31 December 2007 ; 2007 , Pages 137-140 ; 9781424418473 (ISBN) Gharehbaghi, A.M ; Babagoli, M ; Hessabi, S ; Sharif University of Technology
    2007
    Abstract
    In this paper, an infrastructure for debug of complex SoCs that employs assertions is introduced. The proposed infrastructure combines traditional off-chip analysis techniques with on-chip at-speed debug facilities. The main part of on-chip debug hardware consists of data and transaction monitors. The monitor hardware is automatically generated by synthesizing the assertions that were used for verification and validation before manufacturing. We have integrated the proposed method in a system-level design methodology. By synthesizing various assertions from different kinds in a case study we have studied the overhead of our method. © 2007 IEEE  

    Optimal placement of frequently accessed IPs in mesh NoCs

    , Article 12th Asia-Pacific Computer Systems Architecture Conference, ACSAC 2007, Seoul, 23 August 2007 through 25 August 2007 ; Volume 4697 LNCS , 2007 , Pages 126-138 ; 03029743 (ISSN); 9783540743088 (ISBN) Moraveji, R ; Sarbazi Azad, H ; Abbaspour, M ; Sharif University of Technology
    Springer Verlag  2007
    Abstract
    In this paper, we propose the first interrelated power and latency mathematical model for the Networks-on-Chip (NoC) architecture with mesh topology. Through an analytical approach, we show the importance of tile selection in which the hot (frequently accessed) IP core is mapped. Taking into account the effect of blocking in both power and latency models, causes the estimated values to be more accurate. Simulation results confirm the reasonable accuracy of the proposed model. The major output of the model which is the average energy consumption per cycle in the whole network is the efficacious parameter that is most important and must be used by NoC designers. © Springer-Verlag Berlin... 

    AdapNoC: A fast and flexible FPGA-based NoC simulator

    , Article 26th International Conference on Field-Programmable Logic and Applications, FPL 2016, 29 August 2016 through 2 September 2016 ; 2016 ; 9782839918442 (ISBN) Mardani Kamali, H ; Hessabi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Network on Chip (NoC) is the most common interconnection platform for multiprocessor systems-on-chips (MPSoCs). In order to explore the design space of this platform, we need a high-speed, cycle-accurate, and flexible simulation tool. In this paper, we present AdapNoC, a configurable cycle-accurate FPGA-based NoC simulator, which can be configured via software. A wide range of parameters are configurable in FPGA side of the proposed simulator, and the software side is implemented on an embedded soft-core processor. We transfer some parts of simulator, such as Traffic Generators (TGs) and Traffic Receptors (TRs), to software side without any degradation in simulation speed. Moreover, we... 

    Designing a MIPS Processor Using Transactional Level Modeling Tools

    , M.Sc. Thesis Sharif University of Technology Rahimzadeh Rufuie, Mehrdad (Author) ; Vosoughi Vahdat, Bijan (Supervisor) ; Mortazavi, Mohammad (Supervisor)
    Abstract
    Processor cores in embedded applications is one the of important part of System-on-Chip designs. Among the most successful (Reduced Instruction Set Computer) RISC cores are the (Million Instruction Per Second) MIPS processors used in applications such as DVD, automotive, broadband access, networking, etc. In this work we have designed and verified Transaction Level Modeling (TLM) architecture of the MIPS in SystemC TLM2.0. The TLM in SystemC is adopted so that abstract data types can be used for higher (abstract) level modeling and faster simulation design. We implemented the processor such that the instruction and data caches contain all the necessary instructions and data to eliminate... 

    Statistical MPSoC Architecture Optimization under Process Variation

    , M.Sc. Thesis Sharif University of Technology Ghorbani, Mahboobeh (Author) ; Goudarzi, Maziar (Supervisor)
    Abstract
    In nanometer technologies, the effect of process variation is observed in Multi-Processor System on Chip (MPSoC) in terms of variation in processors‟ frequency and leakage power. Traditionally, only worst case values of the system parameters were concerned and a worst-case optimization algorithm was employed for an application under design. As previous researches have shown these algorithms are not optimal in terms of parametric yield compared with newly employed statistical optimization algorithms. In this project, we have considered the problem of simultaneously selecting MPSoC architecture (which includes type and number of processors and the communication media) and task and... 

    Design and Analysis of a Simple Low-Power Network-on-Chip

    , M.Sc. Thesis Sharif University of Technology Gheibi Fetrat, Atiyeh (Author) ; Sarbazi Azad, Hamid (Supervisor) ; Hesabi, Shahin (Supervisor)
    Abstract
    The advancement of technology in the semiconductor industry and the resulting increase in the number of transistors on a chip has led to an increase in the number of processing cores an increase in the number of processing cores in a system on chip (SoC). A surge in the number of processing cores, makes their communication more and more noteworthy. This communication is established through the network on chip (NoC). One of the main challenges in NoC design is power management, as it constitutes a high percentage of the overall power consumption of the chip. One of the most power-hungry components of NoC is the router. According to our observation, some of the components of the routers are... 

    Virtual point-to-point connections for NoCs

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Vol. 29, issue. 6 , 2010 , p. 855-868 ; ISSN: 02780070 Modarressi, M ; Tavakkol, A ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we aim to improve the performance and power metrics of packet-switched network-on-chips (NoCs) and benefits from the scalability and resource utilization advantages of NoCs and superior communication performance of point-to-point dedicated links. The proposed method sets up the virtual point-to-point (VIP) connections over one virtual channel (which bypasses the entire router pipeline) at each physical channel of the NoC. We present two schemes for constructing such VIP circuits. In the first scheme, the circuits are constructed for an application based on its task-graph at design time. The second scheme addresses constructing the connections at run-time using a light-weight... 

    Static statistical MPSoC power optimization by variation-aware task and communication scheduling

    , Article Microprocessors and Microsystems ; Volume 37, Issue 8 PART B , 2013 , Pages 953-963 ; 01419331 (ISSN) Momtazpour, M ; Goudarzi, M ; Sanaei, E ; Sharif University of Technology
    2013
    Abstract
    Corner-case analysis is a well-known technique to cope with occasional deviations occurring during the manufacturing process of semiconductors. However, the increasing amount of process variation in nanometer technologies has made it inevitable to move toward statistical analysis methods, instead of deterministic worst-case-based techniques, at all design levels. We show that by statically considering statistical effects of random and systematic process variation on performance and power consumption of a Multiprocessor System-on-Chip (MPSoC), significant power improvement can be achieved by static software-level optimizations such as task and communication scheduling. Moreover, we analyze...