Loading...
Search for: thermal-design-power
0.006 seconds

    System-Level Policies to Reduce Power Consumption in Fault-Tolerant Embedded Systems

    , Ph.D. Dissertation Sharif University of Technology Ansari, Mohsen (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Technology scaling allows integrating multiple cores onto a single chip to make the mainstream for advanced embedded systems. However, technology scaling results in aggravating the reliability problem of on-chip systems because of increasing transient fault rate due to lower voltages and shrinking transistor dimensions that lead to smaller critical charges. Multicore systems provide a great opportunity to implement reliability mechanisms such as redundant multithreading (RMT) and process level redundancy. Task replication (e.g., RMT) is a well-established technique to achieve high reliability against transient faults. However, replicated executions may increase power consumption beyond the... 

    Peak-power-aware Task Replication to Manage Reliability for Multicore Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Yeganeh Khaksar, Amir (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Multicore platforms prepare a great opportunity to implement fault-tolerance techniques. Task replication is a well-established technique to obtain high reliability against transient faults. However, replicated executions can increase power consumption more than Thermal Design Power (TDP) constraint. TDP is considered as the highest sustainable power that a chip can dissipate before being forced to exploit a performance throttling mechanism, e.g. Dynamic Thermal Management (DTM). If the chip violates its TDP constraint, it automatically restarts or significantly reduces its performance to prevent a permanent damage. Therefore, due to the unwanted system restarts, DTM techniques may not be... 

    Peak Power Management in Fault-tolerant Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Ansari, Mohsen (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Technology scaling allows integrating multiple cores onto a single chip to make the mainstream for advanced embedded systems. However, technology scaling is envisaged to aggravate the reliability of on-chip systems due to increasing transient fault rate due to lower voltages and shrinking transistor dimensions that lead to smaller critical charges. Transient faults are typically resulted due to high-energy particle strikes in hardware and manifest as bit flips. Multi-core systems provide a great opportunity to implement reliability mechanisms such as redundant multithreading (RMT) and process level redundancy. Task replication (e.g. RMT) is a well-established technique to achieve high... 

    Power Management Reliability-aware in Mixed-criticality Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Navardi, Mozhgan (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Mixed-Criticality Systems (MCSs) include tasks that have multiple levels of criticality and they operate in dierent modes. These systems bring benets such as energy and resource cost saving. However, there are challenges in how to manage available resources in order to achieve high utilization, low power consumption, and required reliability. In many cases, these goals are in conict with each other. For example, if ermal Design Power (TDP) was a constraint on the power consumption of the chip, an approach that increases the utilization, unaware of power consumption, can oversteps TDP and leads to permanent or transient faults. Hence aging balancing and task re-execution are utilized to... 

    Simultaneous management of peak-power and reliability in heterogeneous multicore embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 31, Issue 3 , 2020 , Pages 623-633 Ansari, M ; Saber Latibari, J ; Pasandideh, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Analysis of reliability, power, and performance at hardware and software levels due to heterogeneity is a crucial requirement for heterogeneous multicore embedded systems. Escalating power densities have led to thermal issues for heterogeneous multicore embedded systems. This paper proposes a peak-power-aware reliability management scheme to meet power constraints through distributing power density on the whole chip such that reliability targets are satisfied. In this paper, we consider peak power consumption as a system-level power constraint to prevent system failure. To balance the power consumption, we also employ a Dynamic Frequency Scaling (DFS) method to further reduce peak power... 

    Peak power management to meet thermal design power in fault-tolerant embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 30, Issue 1 , 1 January , 2019 , Page(s) 161 - 173 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Khaksar, A. Y ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    Multicore platforms provide great opportunity for implementation of fault-tolerance techniques to achieve high reliability in real-time embedded systems. Passive redundancy is well-suited for multicore platforms and a well-established technique to tolerate transient and permanent faults. However, it incurs significant power overheads, which go wasted in fault-free execution scenarios. Meanwhile, due to the Thermal Design Power (TDP) constraint, in some cases it is not feasible to simultaneously power on all cores on a multicore platform. Since TDP is the maximum sustainable power that a chip can consume, violating TDP makes some cores automatically restart or significantly reduce their... 

    Peak power management to meet thermal design power in fault-tolerant embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 30, Issue 1 , 2019 , Pages 161-173 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Yeganeh Khaksar, A ; Salehi, M ; Ejlali, A. R ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Multicore platforms provide a great opportunity for implementation of fault-tolerance techniques to achieve high reliability in real-time embedded systems. Passive redundancy is well-suited for multicore platforms and a well-established technique to tolerate transient and permanent faults. However, it incurs significant power overheads, which go wasted in fault-free execution scenarios. Meanwhile, due to the Thermal Design Power (TDP) constraint, in some cases, it is not feasible to simultaneously power on all cores on a multicore platform. Since TDP is the maximum sustainable power that a chip can consume, violating TDP makes some cores automatically restart or significantly reduce their... 

    Peak power management to meet thermal design power in fault-tolerant embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 30, Issue 1 , 2019 , Pages 161-173 ; 10459219 (ISSN) Ansari, M ; Safari, S ; Yeganeh Khaksar, A ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Multicore platforms provide a great opportunity for implementation of fault-tolerance techniques to achieve high reliability in real-time embedded systems. Passive redundancy is well-suited for multicore platforms and a well-established technique to tolerate transient and permanent faults. However, it incurs significant power overheads, which go wasted in fault-free execution scenarios. Meanwhile, due to the Thermal Design Power (TDP) constraint, in some cases, it is not feasible to simultaneously power on all cores on a multicore platform. Since TDP is the maximum sustainable power that a chip can consume, violating TDP makes some cores automatically restart or significantly reduce their... 

    Peak-power-aware energy management for periodic real-time applications

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 39, Issue 4 , 2020 , Pages 779-788 Ansari, M ; Yeganeh Khaksar, A ; Safari, S ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Two main objectives in designing real-time embedded systems are high reliability and low power consumption. Hardware replication (e.g., standby-sparing) can provide high reliability while keeping the power consumption under control. In this paper, we consider a standby-sparing system where the main tasks on primary cores are scheduled by our proposed peak-power-aware earliest-deadline-first policy while the backup tasks on spare cores are scheduled by our proposed peak-power-aware earliest-deadline-late policy to meet the chip thermal design power (TDP) constraint. These policies provide the best opportunity to shift the task executions as much as possible to minimize execution overlaps... 

    Meeting thermal safe power in fault-tolerant heterogeneous embedded systems

    , Article IEEE Embedded Systems Letters ; Volume 12, Issue 1 , 2020 , Pages 29-32 Ansari, M ; Pasandideh, M ; Saber Latibari, J ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Due to the system-level power constraints, it is encountered that not all cores in a multicore chip can be simultaneously powered-on at the highest voltage/frequency levels. Also, in the future technology nodes, reliability issues due to the susceptibility of systems to transient faults should be considered in multicore platforms. Therefore, two major objectives in designing multicore embedded systems are low energy/power consumption and high reliability. This letter presents an energy management system that optimizes the energy consumption such that it satisfies reliability target and meets timing, thermal design power (TDP) and thermal safe power (TSP) constraints. Toward the... 

    ReMap: reliability management of peak-power-aware real-time embedded systems through task replication

    , Article IEEE Transactions on Emerging Topics in Computing ; August , 2020 , Pages: 1-1 Yeganeh Khaksar, A ; Ansari, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Increasing power densities in future technology nodes is a crucial issue in multicore platforms. As the number of cores increases in them, power budget constraints may prevent powering all cores simultaneously at full performance level. Therefore, chip manufacturers introduce a power budget constraint as Thermal Design Power (TDP) for chips. Meanwhile, multicore platforms are suitable for implementation of fault-tolerance techniques to achieve high reliability. Task Replication is a known technique to tolerate transient faults. However, careless task replication may lead to significant peak power consumption. In this paper, we consider the problem of achieving a given reliability target... 

    ReMap: Reliability management of peak-power-aware real-time embedded systems through task replication

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 10, Issue 1 , 2022 , Pages 312-323 ; 21686750 (ISSN) Yeganeh-Khaksar, A ; Ansari, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Increasing power densities in future technology nodes is a crucial issue in multicore platforms. As the number of cores increases in them, power budget constraints may prevent powering all cores simultaneously at full performance level. Therefore, chip manufacturers introduce a power budget constraint as Thermal Design Power (TDP) for chips. Meanwhile, multicore platforms are suitable for the implementation of fault-tolerance techniques to achieve high reliability. Task Replication is a well-known technique to tolerate transient faults. However, careless task replication may lead to significant peak power consumption. In this article, we consider the problem of achieving a given reliability... 

    Passive primary/backup-based scheduling for simultaneous power and reliability management on heterogeneous embedded systems

    , Article IEEE Transactions on Sustainable Computing ; 2022 , Pages 1-12 ; 23773782 (ISSN) Yari-Karin, S ; Siyadatzadeh, R ; Ansari, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    In addition to meeting the real-time constraint, power/energy efficiency and high reliability are two vital objectives for real-time embedded systems. Recently, heterogeneous multicore systems have been considered an appropriate solution for achieving joint power/energy efficiency and high reliability. However, power/energy and reliability are two conflict requirements due to the inherent redundancy of fault-tolerance techniques. Also, because of the heterogeneity of the system, the execution of the tasks, especially real-time tasks, in the heterogeneous system is more complicated than the homogeneous system. The proposed method in this paper employs a passive primary/backup technique to...