Loading...
Search for: wear-leveling
0.005 seconds

    A survey on PCM lifetime enhancement schemes

    , Article ACM Computing Surveys ; Volume 52, Issue 4 , 2019 ; 03600300 (ISSN) Rashidi, S ; Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2019
    Abstract
    Phase Change Memory (PCM) is an emerging memory technology that has the capability to address the growing demand for memory capacity and bridge the gap between the main memory and the secondary storage. As a resistive memory, PCM is able to store data based on its resistance values. The wide resistance range of PCM makes it possible to store even multiple bits per cell (MLC) rather than a single bit per cell (SLC). Unfortunately, PCM cells suffer from short lifetime. That means PCM cells could tolerate a limited number of write operations, and afterward they tend to permanently stick at a constant value. Limited lifetime is an issue related to PCM memory; hence, in recent years, many studies... 

    Inter-line level schemes for handling hard errors in PCMs

    , Article Advances in Computers ; Volume 118 , 2020 , Pages 49-78 Asadinia, M ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2020
    Abstract
    To address the problem of fast degradation in PCM main memory systems in the presence of severe cell wear-out, this chapter introduces and evaluates some ways to deal with hard error issues in phase change memory. Our observation reveals when some memory pages reach their endurance limits, other pages may be far from their limits even when using a perfect wear-leveling. Recent studies have proposed redirection or correction schemes to alleviate this problem, but all suffer from poor throughput or latency. In this chapter, we also propose On-demand page paired PCM (OD3P) memory system. Our technique mitigates the problem of fast failure of pages by redirecting them onto other healthy pages,... 

    Prolonging Lifetime of a STT-RAM Last-Level Cache in a Multi-Core Chip Multi-Processor

    , M.Sc. Thesis Sharif University of Technology Jokar, Mohamad Reza (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Emerging non-volatile memory technologies such as Spin- Transfer Torque RAM (STT-RAM) or Resistive RAM (ReRAM) can increase the capacity of the last-level cache (LLC) in a latency and power-efficient manner. These technologies endure between 109 to 1012 writes per cell, making a non-volatile cache (NV-cache) with a lifetime of dozens of years under ideal conditions. However, non-uniformity in writes to different cache lines can considerably reduce the NV-cache lifetime to few months. Writes to cache lines can be made uniformly with wear-leveling. A suitable wear-leveling for NV-cache should not incur high storage and performance overheads. We propose a novel, simple, and effective... 

    Improving Security of Flash-Based Solid State Disks

    , M.Sc. Thesis Sharif University of Technology Samadi, Nasibeh (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    One of the most intrinsic challenges of flash-based Solid State Drives (SSDs) is erasebefore-write limitation and the limited endurance of flash chips. Wear leveling and garbage collection are two mechanisms implemented in SSD’s controller to enhance endurance and performance. While wear leveling attempts to distribute erasures across all blocks in an even manner, it imposes a new security challenge on SSD,which leads to the presence of invalid data blocks in flash chips. Therefore, some data blocks that are logically deleted by the user are still available in flash chips and can be recovered by software or hardware recovery tools.
    In this paper, a new criteria named vulnerability time... 

    A-CACHE: alternating cache allocation to conduct higher endurance in nvm-based caches

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; 2018 ; 15497747 (ISSN) Farbeh, H ; Hosseini Monazzah, A. M ; Aliagha, E ; Cheshmikhani, E ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Recent developments in Non-Volatile Memories (NVMs) have introduced them as an alternative for SRAMs in on-chip caches. Besides the promising features of NVMs, e.g., near-zero leakage power, immunity to radiation-induced particle strike, and higher density, a major drawback of NVM-based caches is their short lifetime due to limited write endurance. This paper first reveals that in L1 caches, the lifetime of data-cache (D-cache) is about 472x shorter than that of instruction-cache (I-cache) due to extreme imbalance write stress between the two. Then, we propose a technique, so-called Alternating Cache Allocation to Conduct Higher Endurance (A-CACHE), to improve the lifetime of... 

    Wear-Leveling for NVM in Real-Time Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Vaez, Narges (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Embedded systems play an important role in many applications in various areas of human life. A large group of these systems are portable devices that have limited energy budget and therefore require considering the energy consumption in their design. Today, memories are responsible for a considerable portion of energy consumption in embedded systems, mainly because of their static leakage power consumption. Memories used in embedded systems are usually based on either SRAM (mostly used on-chip as cache or scratchpad memory) or DRAM (mostly used off-chip as main memory). The high leakage power of these memories (especially SRAM) is not negligible and hence has persuaded researchers to find... 

    Prolonging Lifetime of the Last-Level Non-volatile Cache in Multicore Processors by Separating Tag and Data Arrays

    , M.Sc. Thesis Sharif University of Technology Behroozi, Setareh (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Due to serious challenges of SRAM based caches in sub-micron region, researchers seek for new alternatives. Among the proposed options, STT-RAM seems to be a promising candidate. STT-RAMs with high density, low static power consumption and proper scalability open a new door to respond to future demands. But, unfortunately adopting these type of memories coupled with the limited number of write operation and consequently short lifetime issue. Hence, for practical usage of these type of memories, we must address the short lifetime problem efficiently. This way, using a decoupled caches structure which provide better opportunity of relocation, we propose Caribou to extend the lifetime of... 

    Prolonging lifetime of PCM-based main memories through on-demand page pairing

    , Article ACM Transactions on Design Automation of Electronic Systems ; Vol. 20, issue. 2 , 1 February , 2015 ; ISSN: 10844309 Asadinia, M ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    With current memory scalability challenges, Phase-Change Memory (PCM) is viewed as an attractive replacement to DRAM. The preliminary concern for PCM applicability is its limited write endurance that results in fast wear-out of memory cells. Worse, process variation in the deep-nanometer regime increases the variation in cell lifetime, resulting in an early and sudden reduction in main memory capacity due to the wear-out of a few cells. Recent studies have proposed redirection or correction schemes to alleviate this problem, but all suffer poor throughput or latency. In this article, we show that one of the inefficiency sources in current schemes, even when wear-leveling algorithms are used,... 

    High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement

    , Article Proceedings of the International Symposium on Low Power Electronics and Design ; 2011 , p. 79-84 ; ISSN: 15334678 ; ISBN: 9781612846590 Jadidi, A ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 1 August 2011 through 3 August 2011 ; August , 2011 , Pages 79-84 ; 15334678 (ISSN) ; 9781612846590 (ISBN) Jadidi, A ; Arjomand, M ; SarbaziAzad, H ; Sharif University of Technology
    2011
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    Prolonging lifetime of PCM-based main memories through on-demand page pairing

    , Article ACM Transactions on Design Automation of Electronic Systems ; Volume 20, Issue 2 , 2015 ; 10844309 (ISSN) Asadinia, M ; Arjomand, M ; Azad, H. S ; Sharif University of Technology
    Association for Computing Machinery  2015
    Abstract
    With current memory scalability challenges, Phase-Change Memory (PCM) is viewed as an attractive replacement to DRAM. The preliminary concern for PCM applicability is its limited write endurance that results in fast wear-out of memory cells. Worse, process variation in the deep-nanometer regime increases the variation in cell lifetime, resulting in an early and sudden reduction in main memory capacity due to the wear-out of a few cells. Recent studies have proposed redirection or correction schemes to alleviate this problem, but all suffer poor throughput or latency. In this article, we show that one of the inefficiency sources in current schemes, even when wear-leveling algorithms are used,... 

    Floating-ECC: dynamic repositioning of error correcting code bits for extending the lifetime of STT-RAM caches

    , Article IEEE Transactions on Computers ; Volume 65, Issue 12 , 2016 , Pages 3661-3675 ; 00189340 (ISSN) Farbeh, H ; Kim, H ; Miremadi, S. G ; Kim, S ; Sharif University of Technology
    IEEE Computer Society  2016
    Abstract
    Spin-Transfer Torque RAM (STT-RAM) is a promising alternative to SRAM for implementing on-chip L2 and L3 caches. One of the most critical challenges in STT-RAM is reliability due to limited write endurance, which results in insufficient lifetime, as well as various types of errors. Previous studies have focused on either presenting various cache architectures/management techniques to improve the lifetime of STT-RAM caches or utilizing different Error Correcting Codes (ECCs) to protect against the permanent and transient errors. However, there is no quantitative analysis in the literature to determine the impact of ECCs on the lifetime of the STT-RAM caches. This paper formulates this impact... 

    Sequoia: A high-endurance NVM-Based cache architecture

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 3 , 2016 , Pages 954-967 ; 10638210 (ISSN) Jokar, M. R ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Emerging nonvolatile memory technologies, such as spin-transfer torque RAM or resistive RAM, can increase the capacity of the last-level cache (LLC) in a latency and power-efficient manner. These technologies endure 109 - 1012 writes per cell, making a nonvolatile cache (NV-cache) with a lifetime of dozens of years under ideal working conditions. However, nonuniformity in writes to different cache lines considerably reduces the NV-cache lifetime to a few months. Writes to cache lines can be made uniformly by wear-leveling. A suitable wear-leveling for NV-cache should not incur high storage and performance overheads. We propose a novel, simple, and effective wear-leveling technique with... 

    Express read in MLC phase change memories

    , Article ACM Transactions on Design Automation of Electronic Systems ; Volume 23, Issue 3 , February , 2018 ; 10844309 (ISSN) Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2018
    Abstract
    In the era of big data, the capability of computer systems must be enhanced to support 2.5 quintillion byte/day data delivery. Among the components of a computer system, main memory has a great impact on overall system performance. DRAM technology has been used over the past four decades to build main memories. However, the scalability of DRAM technology has faced serious challenges. To keep pace with the ever-increasing demand for larger main memory, some new alternative technologies have been introduced. Phase change memory (PCM) is considered as one of such technologies for substituting DRAM. PCM offers some noteworthy properties such as low static power consumption, nonvolatility, and...