Loading...
Search for: write-operations
0.011 seconds

    Investigating power outage effects on reliability of solid-state drives

    , Article Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition ; Volume 2018-January , 2018 , Pages 207-212 ; 9783981926316 (ISBN) Ahmadian, S ; Taheri, F ; Lotfi, M ; Karimi, M ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Solid-State Drives (SSDs) are recently employed in enterprise servers and high-end storage systems in order to enhance performance of storage subsystem. Although employing high speed SSDs in the storage subsystems can significantly improve system performance, it comes with significant reliability threat for write operations upon power failures. In this paper, we present a comprehensive analysis investigating the impact of workload dependent parameters on the reliability of SSDs under power failure for variety of SSDs (from top manufacturers). To this end, we first develop a platform to perform two important features required for study: A) a realistic fault injection into the SSD in the... 

    A low power SRAM based on five transistors cell

    , Article 13th International Computer Society of Iran Computer Conference on Advances in Computer Science and Engineering, CSICC 2008, Kish Island, 9 March 2008 through 11 March 2008 ; Volume 6 CCIS , 2008 , Pages 679-688 ; 18650929 (ISSN); 3540899847 (ISBN); 9783540899846 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Sharif University of Technology
    2008
    Abstract
    This paper proposes a low power SRAM based on five transistor SRAM cell. Proposed SRAM uses novel word-line decoding such that, during a read/write operation, only selected cell is connected to bit-line when one row is selected whereas, in conventional SRAM (CV-SRAM), all cells in selected row connected to their bit-lines, which in turn develops differential voltages across all bit-lines, and this makes energy consumption on unselected bit-lines. Proposed SRAM uses one bit-line and thus has lower bit-line leakage compared to CV-SRAM. Furthermore, the proposed SRAM incurs no area overhead, and has comparable read/write performance versus the CV-SRAM. Simulation results in standard 0.25μm CMOS... 

    SPCM: The striped phase change memory

    , Article ACM Transactions on Architecture and Code Optimization ; Volume 12, Issue 4 , January , 2015 ; 15443566 (ISSN) Hoseinzadeh, M ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2015
    Abstract
    Phase Change Memory (PCM) devices are one of the known promising technologies to take the place of DRAM devices with the aim of overcoming the obstacles of reducing feature size and stopping ever growing amounts of leakage power. In exchange for providing high capacity, high density, and nonvolatility, PCM Multilevel Cells (MLCs) impose high write energy and long latency. Many techniques have been proposed to resolve these side effects. However, read performance issues are usually left behind the great importance of write latency, energy, and lifetime. In this article, we focus on read performance and improve the critical path latency of the main memory system. To this end, we exploit... 

    Architecting the last-level cache for GPUs using STT-RAM technology

    , Article Transactions on Design Automation of Electronic Systems ; Volume 20, Issue 4 , 2015 ; 10844309 (ISSN) Samavatian, M. H ; Arjomand, M ; Bashizade, R ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    Future GPUs should have larger L2 caches based on the current trends in VLSI technology and GPU architectures toward increase of processing core count. Larger L2 caches inevitably have proportionally larger power consumption. In this article, having investigated the behavior of GPGPU applications, we present an efficient L2 cache architecture for GPUs based on STT-RAM technology. Due to its high-density and low-power characteristics, STT-RAM technology can be utilized in GPUs where numerous cores leave a limited area for on-chip memory banks. They have, however, two important issues, high energy and latency of write operations, that have to be addressed. Low retention time STT-RAMs can... 

    An efficient STT-Ram last level cache architecture for GPUs

    , Article Proceedings - Design Automation Conference ; 2-5 June , 2014 , pp. 1-6 ; ISSN: 0738100X ; ISBN: 9781479930173 Samavatian, M. H ; Abbasitabar, H ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, having investigated the behavior of GPGPU applications, we present an effcient L2 cache architecture for GPUs based on STT-RAM technology. With the increase of processing cores count, larger on-chip memories are required. Due to its high density and low power characteristics, STT-RAM technology can be utilized in GPUs where numerous cores leave a limited area for on-chip memory banks. They have however two important issues, high energy and latency of write operations, that have to be addressed. Low data retention time STT-RAMs can reduce the energy and delay of write operations. However, employing STT-RAMs with low retention time in GPUs requires a thorough investigation on... 

    BLESS: A simple and efficient scheme for prolonging PCM lifetime

    , Article 53rd Annual ACM IEEE Design Automation Conference, DAC 2016, 5 June 2016 through 9 June 2016 ; Volume 05-09 , June-2016 , 2016 ; 0738100X (ISSN); 9781450342360 (ISBN) Asadinia, M ; Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Limited endurance problem and low cell reliability are main challenges of phase change memory (PCM) as an alternative to DRAM. To further prolong the lifetime of a PCM device, there exist a number of techniques that can be grouped in two categories: 1) reducing the write rate to PCM cells, and 2) handling cell failures when faults occur. Our experiments confirm that during write operations, an extensive non-uniformity in bit ips is exhibited. To reduce this non-uniformity, we present byte-level shifting scheme (BLESS) which reduces write pressure over hot cells of blocks. Additionally, this shifting mechanism can be used for error recovery purpose by using the MLC capability of PCM and... 

    Captopril: Reducing the pressure of bit flips on hot locations in non-volatile main memories

    , Article Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, 14 March 2016 through 18 March 2016 ; 2016 , Pages 1116-1119 ; 9783981537062 (ISBN) Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    High static power consumption and insufficient scalability of the commonly used DRAM main memory technology appear to be tough challenges in upcoming years. Hence, adopting new technologies, i.e. non-volatile memories (NVMs), is a proper choice. NVMs tolerate a low number of write operations while having good scalability and low static power consumption. Due to the non-destructive nature of a read operation and the long latency of a write operation in NVMs, designers use read-before-write (RBW) mechanism to mask the unchanged bits during write operation in order to reduce bit flips. Based on this observation that some specific locations of blocks are responsible for the majority of bit... 

    Fast write operations in non-volatile memories using latency masking

    , Article CSI International Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2018, 9 May 2018 through 10 May 2018 ; 2018 , Pages 1-7 ; 9781538614754 (ISBN) Hoseinghorban, A ; Bazzaz, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Energy consumption is an important issue in designing embedded systems and the emerging Internet of Things (IoT). The use of non-volatile memories instead of SRAM in these systems improves their energy consumption since non-volatile memories consume much less leakage power and provide better capacity given the same die area as SRAM. However, this can impose significant performance overhead because the write operation latency of non-volatile memories is more than that of SRAM. In this paper we presented an NVM-based data memory architecture for embedded systems which improves the performance of the system at the cost of a slight energy consumption overhead. The architecture employs... 

    Investigating the effects of process variations and system workloads on endurance of non-volatile caches

    , Article 13th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2017, 23 October 2017 through 25 October 2017 ; Volume 2018-January , 2018 , Pages 1-6 ; 9781538603628 (ISBN) Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Cadence; IEEE; IEEE Computer Society; IEEE Fault-Tolerant Computing Technical Committee; IEEE Test Technology Technical Council (TTTC) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    With the development of Non-Volatile Memory (NVM) technologies in recent years, several studies suggest using them as an alternative for SRAMs in on-chip caches. One of the main challenges in replacing SRAMs with NVMs is limited endurance of NVMs (i.e. the maximum allowed number of write operations in an NVM cell). The endurance of NVM caches is directly affected not only by workload behaviors, but also by process variations (PVs). Several studies characterized the endurance of NVM caches but they do not consider the simultaneous effects of the PVs and the workloads. In this paper, we propose a high-level framework to investigate the endurance of NVM caches affected by the per-cell endurance... 

    A low-power single-ended SRAM in FinFET technology

    , Article AEU - International Journal of Electronics and Communications ; Volume 99 , 2019 , Pages 361-368 ; 14348411 (ISSN) Sayyah Ensan, S ; Moaiyeri, M. H ; Moghaddam, M ; Hessabi, S ; Sharif University of Technology
    Elsevier GmbH  2019
    Abstract
    This paper presents a single-ended low-power 7T SRAM cell in FinFET technology. This cell enhances read performance by isolating the storage node from the read path. Moreover, disconnecting the feedback path of the cross-coupled inverters during the write operation enhances WSNM by nearly 7.7X in comparison with the conventional 8T SRAM cell. By using only one bit-line, this cell reduces power consumption and PDP compared to the conventional 8T SRAM cell by 82% and 35%, respectively. © 2018 Elsevier GmbH  

    A novel zero-aware read-static-noise-margin-free SRAM Cell for high density and high speed cache application

    , Article 2008 9th International Conference on Solid-State and Integrated-Circuit Technology, ICSICT 2008, Beijing, 20 October 2008 through 23 October 2008 ; 2008 , Pages 876-879 ; 9781424421855 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Noormandi, R ; Mehrparvar, A ; Sharif University of Technology
    2008
    Abstract
    To help overcome limits to the density and speed of conventional SRAMs, we have developed a five-transistor SRAM cell. The newly developed CMOS five-transistor SRAM cell uses one word-line and one bit-line during read/write operation. This cell retains its data with leakage current and positive feedback without refresh cycle. The new cell size is 18% smaller than a conventional six-transistor SRAM cell using same design rules. Simulation result in standard 0.25μm CMOS technology shows purposed cell has correct operation during read/write and idle mode. The average delay of new cell is 20% smaller than a six-transistor SRAM cell. © 2008 IEEE  

    A nanoscale CMOS SRAM cell for high speed applications

    , Article 5th International Conference on MEMS NANO, and Smart Systems, ICMENS 2009, 28 December 2009 through 30 December 2009, Dubai ; 2010 , Pages 33-36 ; 9780769539386 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Mehrparvar, A ; Sharif University of Technology
    2010
    Abstract
    The leakage current and process variation are drastically increased with technology scaling. In Conventional SRAM cell due to process variations, stored data can be destroyed during read operation. Therefore, leakage current of SRAM cell and stability during read operation are two important parameters in nano-scaled CMOS technology. To overcome these limitations and to increase the speed of conventional SRAMs, we have developed a read-static-noise-margin-free SRAM cell. The developed cell has six-transistors and uses two read/write-lines and two read/write-bit-lines during read/write operation. This cell retains its data with leakage current and positive feedback without refresh cycle. The... 

    A novel nano-scaled SRAM cell

    , Article World Academy of Science, Engineering and Technology ; Volume 65 , 2010 , Pages 172-174 ; 2010376X (ISSN) Azizi Mazreah, A ; Sahebi, M. R ; Manzuri Shalmani, M. T ; Sharif University of Technology
    Abstract
    To help overcome limits to the density of conventional SRAMs and leakage current of SRAM cell in nanoscaled CMOS technology, we have developed a four-transistor SRAM cell. The newly developed CMOS four-transistor SRAM cell uses one word-line and one bit-line during read/write operation. This cell retains its data with leakage current and positive feedback without refresh cycle. The new cell size is 19% smaller than a conventional six-transistor cell using same design rules. Also the leakage current of new cell is 60% smaller than a conventional sixtransistor SRAM cell. Simulation result in 65nm CMOS technology shows new cell has correct operation during read/write operation and idle mode  

    QuARK: quality-configurable approximate STT-MRAM cache by fine-grained tuning of reliability-energy knobs

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 24 July 2017 through 26 July 2017 ; 2017 ; 15334678 (ISSN) ; 9781509060238 (ISBN) Hosseini Monazzah, A. M ; Shoushtari, M ; Miremadi, S. G ; Rahmani, A. M ; Dutt, N ; Sharif University of Technology
    Abstract
    Emerging STT-MRAM memories are promising alternatives for SRAM memories to tackle their low density and high static power consumption, but impose high energy consumption for reliable read/write operations. However, absolute data integrity is not required for many approximate computing applications, allowing energy savings with minimal quality loss. This paper proposes QuARK, a hardware/software approach for trading reliability of STT-MRAM caches for energy savings in the on-chip memory hierarchy of multi- A nd many-core systems running approximate applications. In contrast to SRAM-based cache-way-level actuators, QuARK utilizes fine-grained cache-line-level actuation knobs with different... 

    A robust and low-power near-threshold SRAM in 10-nm FinFET technology

    , Article Analog Integrated Circuits and Signal Processing ; Volume 94, Issue 3 , 2018 , Pages 497-506 ; 09251030 (ISSN) Sayyah Ensan, S ; Moaiyeri, M. H ; Hessabi, S ; Sharif University of Technology
    Springer New York LLC  2018
    Abstract
    This paper presents a robust and low-power single-ended robust 11T near-threshold SRAM cell in 10-nm FinFET technology. The proposed cell eliminates write disturbance and enhances write performance by disconnecting the path between cross-coupled inverters during the write operation. FinFETs suffer from width quantization, and SRAM performance is highly dependent to transistors sizing. The proposed structure with minimum sized tri-gate FinFETs operates without failure under major process variations. In addition, read disturbance is reduced by isolating the storage nodes during the read operations. To reduce power consumption this cell uses only one bit-line for both read and write operations.... 

    An energy efficient 40 Kb SRAM module with extended read/write noise margin in 0.13μm CMOS

    , Article IEEE Journal of Solid-State Circuits ; Volume 44, Issue 2 , 2009 , Pages 620-630 ; 00189200 (ISSN) Sharifkhani, M ; Sachdev, M ; Sharif University of Technology
    2009
    Abstract
    Based on the dynamic criteria for data stability, we introduce segmented virtual grounding architecture with extended read, write noise margin to realize a low leakage current, energy efficient SRAM module. The architecture offers subthreshold operation for the entire module, except for the selected segments. In addition, a new operational mode for the SRAM cell is introduced which allows only the bitlines of the selected columns to be discharged in an operation. The stability of the cells is enhanced in both read and write operation by controlling the cell access time and cell supply voltage, respectively. A 2048$, imes,$20 bit eSRAM unit is implemented in a regular 0.13 $muhbox{m} $ CMOS...