Loading...
Search for: electric-power-utilization
0.008 seconds
Total 169 records

    Yield constrained automated design algorithm for power optimized pipeline ADC

    , Article Integration ; Volume 74 , 2020 , Pages 55-62 Sadrafshari, V ; Sadrafshari, S ; Sharifkhani, M ; Sharif University of Technology
    Elsevier B.V  2020
    Abstract
    Pipeline Analog to Digital Converter (ADC) design processes include several redesign steps to achieve the optimum solution. Hence, designers prefer to use automated algorithms for this purpose. In this paper, an automated algorithm for CAD tool is presented considering the trade-off between yield and power consumption for pipeline ADCs. This automated algorithm benefits from multiple degrees of freedom including the system level down to transistor level parameters, which helps CAD tools to find the optimized solution. It allows designers to choose an optimum scenario considering the trade-off between yield and power consumption. To evaluate the capabilities of this algorithm, a 10-bit... 

    Toward the design of fault-tolerance-aware and peak-power-aware multicore mixed-criticality systems

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 41, Issue 5 , 2022 , Pages 1509-1522 ; 02780070 (ISSN) Ranjbar, B ; Hosseinghorban, A ; Salehi, M ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    Mixed-criticality (MC) systems have recently been devised to address the requirements of real-time systems in industrial applications, where the system runs tasks with different criticality levels on a single platform. In some workloads, a high-critically task might overrun and overload the system, or a fault can occur during the execution. However, these systems must be fault tolerant and guarantee the correct execution of all high-criticality (HC) tasks by their deadlines to avoid catastrophic consequences, in any situation. Furthermore, in these MC systems, the peak-power consumption of the system may increase, especially in an overload situation and exceed the processor thermal design... 

    Toward the design of fault-tolerance-and peak-power-aware multi-core mixed-criticality systems

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; 2021 ; 02780070 (ISSN) Ranjbar, B ; Hosseinghorban, A ; Salehi, M ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Mixed-Criticality (MC) systems have recently been devised to address the requirements of real-time systems in industrial applications, where the system runs tasks with different criticality levels on a single platform. In some workloads, a highcritically task might overrun and overload the system, or a fault can occur during the execution. However, these systems must be fault-tolerant and guarantee the correct execution of all highcriticality tasks by their deadlines to avoid catastrophic consequences, in any situation. Furthermore, in these MC systems, the peak power consumption of the system may increase, especially in an overload situation and exceed the processor Thermal Design Power... 

    Thermal-Aware standby-sparing technique on heterogeneous real-time embedded systems

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 10, Issue 4 , 2022 , Pages 1883-1897 ; 21686750 (ISSN) Ansari, M ; Safari, S ; Yari Karin, S ; Gohari Nazari, P ; Khdr, H ; Shafique, M ; Henkel, J ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Low power consumption, real-time computing, and high reliability are three key requirements/design objectives of real-time embedded systems. The standby-sparing technique can improve system reliability while it might increase the temperature of the system beyond safe limits. In this paper, we propose a thermal-aware standby-sparing (TASS) technique that aims at maximizing the Quality of Service (QoS) of soft real-time tasks, which is defined as a function of the finishing time of running tasks. The proposed technique tolerates permanent and transient faults for multicore real-time embedded systems while meeting the Thermal Safe Power (TSP) as the core-level power constraint, which avoids... 

    Thermal-aware standby-sparing technique on heterogeneous real-time embedded systems

    , Article IEEE Transactions on Emerging Topics in Computing ; 2021 ; 21686750 (ISSN) Ansari, M ; Safari, S ; Yari Karin, S ; Gohari Nazari, P ; Khdr, H ; Shafique, M ; Henkel, J ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Low power consumption, real-time computing, and high reliability are three key requirements/design objectives of real-time embedded systems. The standby-sparing technique can improve system reliability while it might increase the temperature of the system beyond safe limits. In this paper, we propose a thermal-aware standby-sparing (TASS) technique that aims at maximizing the Quality of Service (QoS) of soft real-time tasks, which is defined as a function of the finishing time of running tasks. The proposed technique tolerates permanent and transient faults for multicore real-time embedded systems while meeting the Thermal Safe Power (TSP) as the core-level power constraint, which avoids... 

    Thermal and power aware task mapping on 3D Network on Chip

    , Article Computers and Electrical Engineering ; Volume 51 , 2016 , Pages 157-167 ; 00457906 (ISSN) Mosayyebzadeh, A ; Mehdizadeh Amiraski, A ; Hessabi, S ; Sharif University of Technology
    Elsevier Ltd 
    Abstract
    High integration and increased elements density in 3D Network on Chip (NoC) will cause more energy consumption and high temperature on chip. By mapping those tasks that have data communication between them to near cores, the communication delay and therefore, power consumption will be reduced. In addition, mapping the tasks to cores that are near the heat sink, in such a way that the generated heat is distributed indiscriminately all over the chip, will decrease maximum chip temperature. In this paper, we propose a task mapping method based on fuzzy logic that aims to alleviate power and thermal problems in 3D-NoCs. In this method, the weight of task mapping factors can be changed according... 

    The kautz mesh: a new topology for SoCs

    , Article 2008 International SoC Design Conference, ISOCC 2008, Busan, 24 November 2008 through 25 November 2008 ; Volume 1 , 2008 , Pages I300-I303 ; 9781424425990 (ISBN) Sabbaghi Nadooshan, R ; Sarbazi Azad, H ; Sharif University of Technology
    2008
    Abstract
    Nowadays networks-on-chip are emerging as a hot topic in IC designs with high integration. In addition to popular mesh topologies, other structures can also be considered especially in 3D VLSI design. The Kautz topology is one of the interconnection architectures for multiprocessors. In this paper we propose an efficient three dimensional layout for a novel 2D mesh structure based on the Kautz topology. Simulation results show that by using the third dimension, performance and latency can be improved compared to the 2D VLSI implementation. ©2008 IEEE  

    The design of a low-power high-speed current comparator in 0.35-μm CMOS technology

    , Article Proceedings of the 10th International Symposium on Quality Electronic Design, ISQED 2009, 16 March 2009 through 18 March 2009, San Jose, CA ; 2009 , Pages 107-111 ; 9781424429530 (ISBN) Ziabakhsh, S ; Alavi Rad, H ; Alavi Rad, M ; Mortazavi, M ; International Society for Quality Electronic Design, ISQED ; Sharif University of Technology
    2009
    Abstract
    A novel low power with high performance low current comparator is proposed in this paper which comprises of low input impedance using a simple biasing method. It aimed for low power consumption and high speed designs compared with other high speed designs. The simulation results from HSPICE demonstrate the propagation delay is about 0.7 ns and the average power consumption is 130 μW for 100 nA input current at supply voltage of 1.8 V using 0.35 micron CMOS technology. © 2009 IEEE  

    The 2D digraph-based NoCs: Attractive alternatives to the 2D mesh NoCs

    , Article Journal of Supercomputing ; Vol. 59, issue. 1 , January , 2012 , pp. 1-21 ; ISSN: 9208542 Sabbaghi-Nadooshan, R ; Modarressi, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    This paper proposes two-dimensional directed graphs (or digraphs for short) as a promising alternative to the popular 2D mesh topology for networks-onchip (NoCs). Mesh is the most popular topology for the NoCs, mainly due to its suitability for on-chip implementation and low cost. However, the fact that a digraph offers a lower diameter than its equivalent linear array of equal cost motivated us to evaluate digraphs as the underlying topology of NoCs. This paper introduces a family of NoC topologies based on three well-known digraphs, namely de Bruijn, shuffleexchange, and Kautz. We study topological properties of the proposed topologies. We show that the proposed digraph-based topologies... 

    The 2D digraph-based NoCs: Attractive alternatives to the 2D mesh NoCs

    , Article Journal of Supercomputing ; Volume 59, Issue 1 , January , 2012 , Pages 1-21 ; 09208542 (ISSN) Sabbaghi Nadooshan, R ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Kluwer Academic Publishers  2012
    Abstract
    This paper proposes two-dimensional directed graphs (or digraphs for short) as a promising alternative to the popular 2D mesh topology for networks-onchip (NoCs). Mesh is the most popular topology for the NoCs, mainly due to its suitability for on-chip implementation and low cost. However, the fact that a digraph offers a lower diameter than its equivalent linear array of equal cost motivated us to evaluate digraphs as the underlying topology of NoCs. This paper introduces a family of NoC topologies based on three well-known digraphs, namely de Bruijn, shuffleexchange, and Kautz. We study topological properties of the proposed topologies. We show that the proposed digraph-based topologies... 

    Temperature-aware power consumption modeling in Hyperscale cloud data centers

    , Article Future Generation Computer Systems ; Volume 94 , 2019 , Pages 130-139 ; 0167739X (ISSN) Rezaei Mayahi, M ; Rezazad, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier B.V  2019
    Abstract
    Since the development of data centers, power management (i.e., assessment, consumption and monitoring) has been a great challenge among scientists and engineers. By emerging a new generation of data center in the form of Hyperscale cloud data centers (HCDC), this concern has become more devastating than ever. The huge physical scale and the high level of system utilization through large power compensating system are some of the main characteristics of today's HCDC. The lack of appropriate power assessment from available power estimation models, prevents professionals from designing an accurate HCDCcapacity planning. In particular, during steady state workload processing at the high... 

    Stochastic DVS-based dynamic power management for soft real-time systems

    , Article Microprocessors and Microsystems ; Volume 32, Issue 3 , 2008 , Pages 121-144 ; 01419331 (ISSN) Kargahi, M ; Movaghar, A ; Sharif University of Technology
    2008
    Abstract
    This paper introduces a stochastic dynamic power management policy for soft real-time systems. Such a system comprises a single processor with the capability of dynamic voltage scaling (DVS). The policy uses DVS to consume less power in the processor while satisfying some performance constraints. The idea is based on a Markovian model of the system, which presents an analytical technique for tuning the system parameters and evaluating the effectiveness of the policy. Real-time jobs arrive according to a Poisson process and have exponentially distributed service times and relative deadlines. The power management policy is designed to reduce the long-run power consumption of the system while... 

    Soft error mitigation in switch modules of SRAM-based FPGAs

    , Article 2007 IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans, LA, 27 May 2007 through 30 May 2007 ; 2007 , Pages 141-144 ; 02714310 (ISSN) Zarandi, H. R ; Miremadi, S. G ; Pradhan, D. K ; Mathew, J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2007
    Abstract
    In this paper, we propose two techniques to mitigate soft error effects on the switch modules of SRAM-based FPGAs: 1) The first technique tolerates SEU-caused open errors based on a new programming method for SRAM-bits of switch modules, and 2) The second technique mitigates SEU-cause short errors in the switch modules based on a mixed programmable and hard-wired switch module structure in the FPGAs. The effects of these two techniques on the delay, area and power consumption for 20 MCNC benchmark circuits are achieved using a minor modification in VPR and T-VPack FPGA CAD tools. The experimental results show that he first technique increase reliability of connections of switch module up to... 

    Soft error-aware voltage scaling technique for power minimization in application-specific multiprocessor system-on-chip

    , Article Journal of Low Power Electronics ; Volume 5, Issue 2 , 2009 , Pages 145-156 ; 15461998 (ISSN) Shafik, R. A ; Al Hashimi, B. M ; Kundu, S ; Ejlali, A ; Sharif University of Technology
    2009
    Abstract
    There is growing interest in evaluating the impact of soft errors on multiprocessor system-on-chip (MPSoC) at application-level rather than architectural-level, particularly in multimedia applications to optimize system design. This has recently led to the concept of application-level correctness. In this paper, we consider the relationship between application-level correctness and system-level power management using voltage scaling technique with the aim to generate designs that are optimized in terms of power consumption, while providing acceptable application-level correctness and meeting real-time performance deadlines. We propose a novel voltage scaling technique based on linear... 

    Smart meters big data: Game theoretic model for fair data sharing in deregulated smart grids

    , Article IEEE Access ; Volume 3 , December , 2015 , Pages 2743-2754 ; 21693536 (ISSN) Yassine, A ; Nazari Shirehjini, A. A ; Shirmohammadi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Aggregating fine-granular data measurements from smart meters presents an opportunity for utility companies to learn about consumers' power consumption patterns. Several research studies have shown that power consumption patterns can reveal a range of information about consumers, such as how many people are in the home, the types of appliances they use, their eating and sleeping routines, and even the TV programs they watch. As we move toward liberalized energy markets, many different parties are interested in gaining access to such data, which has enormous economical, societal, and environmental benefits. However, the main concern is that many such beneficial uses of smart meter big data... 

    Simultaneous management of peak-power and reliability in heterogeneous multicore embedded systems

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 31, Issue 3 , 2020 , Pages 623-633 Ansari, M ; Saber Latibari, J ; Pasandideh, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Analysis of reliability, power, and performance at hardware and software levels due to heterogeneity is a crucial requirement for heterogeneous multicore embedded systems. Escalating power densities have led to thermal issues for heterogeneous multicore embedded systems. This paper proposes a peak-power-aware reliability management scheme to meet power constraints through distributing power density on the whole chip such that reliability targets are satisfied. In this paper, we consider peak power consumption as a system-level power constraint to prevent system failure. To balance the power consumption, we also employ a Dynamic Frequency Scaling (DFS) method to further reduce peak power... 

    Simulation of wellbore drilling energy saving of nanofluids using an experimental taylor–couette flow system

    , Article Journal of Petroleum Exploration and Production ; Volume 11, Issue 7 , 2021 , Pages 2963-2979 ; 21900558 (ISSN) Rashidi, M ; Sedaghat, A ; Misbah, B ; Sabati, M ; Vaidyan, K ; Mostafaeipour, A ; Hosseini Dehshiri, S. S ; Almutairi, K ; Issakhov, A ; Oloomi, S. A. A ; Malayer, M. A ; Arockia Dhanraj, J ; Sharif University of Technology
    Springer Science and Business Media B.V  2021
    Abstract
    Power consumption of wellbore drilling in oil and gas exploitations count for 40% of total costs, hence power saving of WBM (water-based mud) by adding different concentrations of Al2O3, TiO2 and SiO2 nanoparticles is investigated here. A high-speed Taylor–Couette system (TCS) was devised to operate at speeds 0–1600 RPM to simulate power consumption of wellbore drilling using nanofluids in laminar to turbulent flow conditions. The TCS control unit uses several sensors to record current, voltage and rotational speed and Arduino microprocessors to process outputs including rheological properties and power consumption. Total power consumption of the TCS was correlated with a second-order... 

    Shrinking FPGA static power via machine learning-based power gating and enhanced routing

    , Article IEEE Access ; Volume 9 , 2021 , Pages 115599-115619 ; 21693536 (ISSN) Seifoori, Z ; Asadi, H ; Stojilovic, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Despite FPGAs rapidly evolving to support the requirements of the most demanding emerging applications, their high static power consumption, concentrated within the routing resources, still presents a major hurdle for low-power applications. Augmenting the FPGAs with power-gating ability is a promising way to effectively address the power-consumption obstacle. However, the main challenge when implementing power gating is in choosing the clusters of resources in a way that would allow the most power-saving opportunities. In this paper, we take advantage of machine learning approaches, such as K-means clustering, to propose efficient algorithms for creating power-gating clusters of FPGA... 

    SEU-mitigation placement and routing algorithms and their impact in SRAM-based FPGAs

    , Article 8th International Symposium on Quality Electronic Design, ISQED 2007, San Jose, CA, 26 March 2007 through 28 March 2007 ; 2007 , Pages 380-385 ; 0769527957 (ISBN); 9780769527956 (ISBN) Zarandi, H. R ; Miremadi, S. G ; Pradhan, D. K ; Mathew, J ; Sharif University of Technology
    2007
    Abstract
    In this paper, we propose a new SEU-mitigative placement and routing of circuits in the FPGAs which is based on the popular VPR tool. The VPR tool is modified so that during placement and routing, decisions are taken with awareness of SEU-mitigation. Moreover, no redundancies during the placement and routing are used but the algorithms are based on the SEU avoidance. Using the modified tool, i.e., S-VPR, the role of placement and routing algorithms on the fault-tolerance of circuits implemented on FPGAs is achieved. The secondary propose of this paper is to find which of placement or routing is more suited for decreasing SEU sensibility of circuits and to find whether these SEU sensibility... 

    SEU-hardened energy recovery pipelined interconnects for on-chip networks

    , Article 2nd IEEE International Symposium on Networks-on-Chip, NOCS 2008, Newcastle upon Tyne, 7 April 2008 through 11 April 2008 ; 2008 , Pages 67-76 ; 0769530982 (ISBN); 9780769530987 (ISBN) Ejlali, A ; Al Hashimi, B. M ; Sharif University of Technology
    2008
    Abstract
    Pipelined on-chip interconnects are used in on-chip networks to increase the throughput of interconnects and to achieve freedom in choosing arbitrary network topologies. Since reliability and energy consumption are prominent issues in on-chip networks, they should be carefully considered in the design of pipelined interconnects. In this paper, ws propose the use of energy recovery techniques to construct low energy and reliable pipelined on-chip interconnects. The proposed designs have been evaluated using detailed SPICE simulations. In the reliability analysis, the SEU fault model is considered as it is a major reliability concern in the sequential circuits (pipelining memory elements)...