Loading...
Search for: error-rate
0.012 seconds
Total 155 records

    3RSeT: Read disturbance rate reduction in STT-MRAM caches by selective tag comparison

    , Article IEEE Transactions on Computers ; Volume 71, Issue 6 , 2022 , Pages 1305-1319 ; 00189340 (ISSN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Recent development in memory technologies has introduced Spin-Transfer Torque Magnetic RAM (STT-MRAM) as the most promising replacement for SRAMs in on-chip cache memories. Besides its lower leakage power, higher density, immunity to radiation-induced particles, and non-volatility, an unintentional bit flip during read operation, referred to as read disturbance error, is a severe reliability challenge in STT-MRAM caches. One major source of read disturbance error in STT-MRAM caches is simultaneous accesses to all tags for parallel comparison operation in a cache set, which has not been addressed in previous work. This article first demonstrates that high read accesses to tag array extremely... 

    Feasibility of a novel beamforming algorithm via retrieving spatial harmonics

    , Article Journal of Systems Engineering and Electronics ; Volume 33, Issue 1 , 2022 , Pages 38-46 ; 16711793 (ISSN) Norolahi, J ; Azmi, P ; Nasirian, M ; Sharif University of Technology
    Beijing Institute of Aerospace Information  2022
    Abstract
    This paper introduces an algorithm for beamforming systems by the aid of multidimensional harmonic retrieval (MHR). This algorithm resolves problems, removes limitations of sampling and provides a more robust beamformer. A new sample space is created that can be used for estimating weights of a new beamforming called spatial-harmonics retrieval beamformer (SHRB). Simulation results show that SHRB has a better performance, accuracy, and applicability and more powerful eigenvalues than conventional beamformers. A simple mathematical proof is provided. By changing the number of harmonics, as a degree of freedom that is missing in conventional beamformers, SHRB can achieve more optimal outputs... 

    Robust registration of medical images in the presence of spatially-varying noise

    , Article Algorithms ; Volume 15, Issue 2 , 2022 ; 19994893 (ISSN) Abbasi Asl, R ; Ghaffari, A ; Fatemizadeh, E ; Sharif University of Technology
    MDPI  2022
    Abstract
    Spatially-varying intensity noise is a common source of distortion in medical images and is often associated with reduced accuracy in medical image registration. In this paper, we propose two multi-resolution image registration algorithms based on Empirical Mode Decomposition (EMD) that are robust against additive spatially-varying noise. EMD is a multi-resolution tool that decomposes a signal into several principle patterns and residual components. Our first proposed algorithm (LR-EMD) is based on the registration of EMD feature maps from both floating and reference images in various resolutions. In the second algorithm (AFR-EMD), we first extract a single average feature map based on EMD... 

    Modified joint channel-and-data estimation for one-bit massive MIMO

    , Article 53rd IEEE International Symposium on Circuits and Systems, ISCAS 2021, 22 May 2021 through 28 May 2021 ; Volume 2021-May , 2021 ; 02714310 (ISSN); 9781728192017 (ISBN) Bahari, M ; Rasoulinezhad, Ramin ; Amiri, M ; Gilani, F ; Saadatnejad, S ; Nezamalhosseini, A. R ; Shabany, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Centralized and cloud computing-based network architectures are the promising tracks of future communication systems where a large scale compute power can be virtualized for various algorithms. These architectures rely on high-performance communication links between the base stations and the central computing systems. On the other hand, massive Multiple-Input Multiple-Output (MIMO) technology is a promising solution for base stations toward higher spectral efficiency. To reduce system complexity and energy consumption, 1-bit analog-to-digital converters (ADCs) are leveraged with the cost of lowering the signal quality. To recover the lost information, more sophisticated algorithms, like... 

    Enhancing reliability of emerging memory technology for machine learning accelerators

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 9, Issue 4 , April , 2021 , Pages 2234-2240 ; 21686750 (ISSN) Jasemi, M ; Hessabi, S ; Bagherzadeh, N ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    An efficient and reliable Multi-Level Cell (MLC) Spin-Transfer Torque Random Access Memory (STT-RAM) is proposed based on a Drop-And-Rearrange Approach, called DARA. Since CNN models are rather robust, less important bits are dropped, allowing important bits to be written in safe and reliable Single-Level Cell mode. Also, bits are rearranged to make the representation better aligned with memory cell characteristics. Bits with higher impact on the features value are stored in safer bit positions reducing the chance of read/write circuits to malfunction. Experimental results show that our approach provides comparable to error-free scenario reliability level, while doubling the bandwidth and... 

    Full diversity space time block codes with improved power distribution characteristics

    , Article Physical Communication ; Volume 39 , 2020 Abbasi, V ; Shayesteh, M. G ; Sharif University of Technology
    Elsevier B.V  2020
    Abstract
    In this paper, we introduce a technique for improving power distribution characteristics of space time block codes (STBCs) which include peak to average power ratio (PAPR), average to minimum power ratio (Ave/min), and probability of transmitting “zero” by antenna. We consider STBCs in multiple input multiple output (MIMO) and multiple input single output (MISO) systems that achieve full diversity for linear or maximum likelihood (ML) receivers. It is proved that by multiplying a specific non-singular square matrix by the code matrix, a new code is obtained that achieves full diversity and the power distribution characteristics are improved. The proposed technique is general can be applied... 

    Replay spoofing countermeasure using autoencoder and siamese networks on ASVspoof 2019 challenge

    , Article Computer Speech and Language ; Volume 64 , 2020 Adiban, M ; Sameti, H ; Shehnepoor, S ; Sharif University of Technology
    Academic Press  2020
    Abstract
    Automatic Speaker Verification (ASV) is authentication of individuals by analyzing their speech signals. Different synthetic approaches allow spoofing to deceive ASV systems (ASVs), whether using techniques to imitate a voice or reconstruct the features. Attackers beat up the ASVs using four general techniques; impersonation, speech synthesis, voice conversion, and replay. The last technique is considered as a common and high potential tool for spoofing purposes since replay attacks are more accessible and require no technical knowledge of adversaries. In this study, we introduce a novel replay spoofing countermeasure for ASVs. Accordingly, we use the Constant Q Cepstral Coefficient (CQCC)... 

    A system-level framework for analytical and empirical reliability exploration of STT-MRAM caches

    , Article IEEE Transactions on Reliability ; Volume 69, Issue 2 , 2020 , Pages 594-610 Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Spin-transfer torque magnetic RAM (STT-MRAM) is known as the most promising replacement for static random access memory (SRAM) technology in large last-level cache memories (LLC). Despite its high density, nonvolatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM-based cache memory suffers from high error rates mainly due to retention failure (RF), read disturbance, and write failure. Existing studies are limited to estimate the rate of only one or two of these error types for STT-MRAM cache. However, the overall vulnerability of STT-MRAM caches, whose estimation is a must to design cost-efficient reliable caches, has not been studied previously. In... 

    A modeling framework for reliability of erasure codes in SSD arrays

    , Article IEEE Transactions on Computers ; Volume 69, Issue 5 , May , 2020 , Pages 649-665 Kishani, M ; Ahmadian, S ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Emergence of Solid-State Drives (SSDs) have evolved the data storage industry where they are rapidly replacing Hard Disk Drives (HDDs) due to their superiority in performance and power. Meanwhile, SSDs have reliability issues due to bit errors, bad blocks, and bad chips. To help reliability, Redundant Array of Independent Disks (RAID) configurations, originally proposed to increase both performance and reliability of HDDs, are also applied to SSD arrays. However, the conventional reliability models of HDD RAID cannot be intactly applied to SSD arrays, as the nature of failures in SSDs are totally different from HDDs. Previous studies on the reliability of SSD arrays are based on the... 

    Dynamic HARQ with guaranteed delay

    , Article 2020 IEEE Wireless Communications and Networking Conference, WCNC 2020, 25 May 2020 through 28 May 2020 ; Volume 2020-May , 2020 Shirvanimoghaddam, M ; Khayami, H ; Li, Y ; Vucetic, B ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    In this paper, a dynamic-hybrid automatic repeat request (D-HARQ) scheme with guaranteed delay performance is proposed. As opposed to the conventional HARQ that the maximum number of re-transmissions, L, is fixed, in the proposed scheme packets can be re-transmitted more times given that the previous packet was received with less than L re-transmissions. The dynamic of the proposed scheme is analyzed using the Markov model. For delay sensitive applications, the proposed scheme shows a superior performance in terms of packet error rate compared with the conventional HARQ and Fixed retransmission schemes when the channel state information is not available at the transmitter. We further show... 

    An experimental platform for macro-scale fluidic medium molecular communication

    , Article IEEE Transactions on Molecular, Biological, and Multi-Scale Communications ; 2020 Khaloopour, L ; Nasiri Kenari, M ; Rouzegar, S. V ; Azizi, A ; Hosseinian, A ; Farahnak Ghazani, M ; Bagheri, N ; Mirmohseni, M ; Arjmandi, H ; Mosayebi, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    The macro-scale molecular communication (MC) recently received considerable attention because of its potential applications. Since most of the experimental research in MC focuses on the micro-scale cases, it is necessary to study and implement experiments to investigate the concept’s feasibility as well as to validate the models and parameters. In this paper, a macro-scale flow-based MC platform with fluidic medium is developed, in a semi-cylindrical channel with laminar flow condition. The transmission medium we consider is water in the plexi pipe, a transmitter releases Hydrochloric acid molecules into this pipe and a chemical sensor is used as the receiver. We propose an LTI model for the... 

    Constrained error rate analysis for wireless body area networks

    , Article IET Wireless Sensor Systems ; Volume 9, Issue 6 , 2019 , Pages 366-374 ; 20436386 (ISSN) Razavi, A ; Jahed, M ; Sharif University of Technology
    Institution of Engineering and Technology  2019
    Abstract
    Wireless body area network (WBAN) is composed of miniaturised sensors that operate in the vicinity of the human body for recording the vital physiological signals and wirelessly transmitting them to a central hub for further processing. In this study, a statistical approach is applied to an experimental channel data set to extract the models for the squared channel gain that best describe the characteristics of the transmission medium between the sensors and the central hub. The derived models are then utilised to investigate the error rate performance of WBAN sensors. On the basis thereof, an optimisation problem is formed for which the cost function is the symbol error rate (SER) metric.... 

    A multi-layer encoding and decoding strategy for binary erasure channel

    , Article IEEE Transactions on Information Theory ; Volume 65, Issue 7 , 2019 , Pages 4143-4151 ; 00189448 (ISSN) Johnny, M ; Aref, M. R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this paper, we consider a binary erasure channel (BEC) with an unknown erasure probability of {delta } at a transmitter. In addition, we consider that {delta } has a constant value in each transmission block and the transmitter knows the probability distribution of {delta }. For this problem with the infinite block length, based on the distribution of the random variable {delta } , a multi-layer encoding strategy at the transmitter and a successive decoding strategy at the receiver are proposed. In our proposed scheme, based on the value of {delta } , the receiver can decode a part of transmitted data from different transmission layers. In order to have a comparison with other erasure... 

    Enhancing Reliability of STT-MRAM Caches by Eliminating Read Disturbance Accumulation

    , Article 22nd Design, Automation and Test in Europe Conference and Exhibition, DATE 2019, 25 March 2019 through 29 March 2019 ; Pages 854-859 , 2019 , Pages 854-859 ; 9783981926323 (ISBN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; ACM Special Interest Group on Design Automation (SIGDA); Electronic System Design (ESD) Alliance; et al.; European Design and Automation Association (EDAA); European Electronic Chips and Systems Design Initiative (ECSI); IEEE Council on Electronic Design Automation (CEDA) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) as one of the most promising replacements for SRAMs in on-chip cache memories benefits from higher density and scalability, near-zero leakage power, and non-volatility, but its reliability is threatened by high read disturbance error rate. Error-Correcting Codes (ECCs) are conventionally suggested to overcome the read disturbance errors in STT-MRAM caches. By employing aggressive ECCs and checking out a cache block on every read access, a high level of cache reliability is achieved. However, to minimize the cache access time in modern processors, all blocks in the target cache set are simultaneously read in parallel for tags comparison operation... 

    Robin: incremental oblique interleaved ECC for reliability improvement in STT-MRAM caches

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 21 January 2019 through 24 January 2019 ; 2019 , Pages 173-178 ; 9781450360074 (ISBN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; ACM SIGDA; Cadence Design Systems, Inc.; CEDA; EIC; IEEE CAS; IPSJ ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) is a promising alternative for SRAMs in on-chip cache memories. Besides all its advantages, high error rate in STT-MRAM is a major limiting factor for on-chip cache memories. In this paper, we first present a comprehensive analysis that reveals that the conventional Error-Correcting Codes (ECCs) lose their efficiency due to data-dependent error patterns, and then propose an efficient ECC configuration, so-called ROBIN, to improve the correction capability. The evaluations show that the inefficiency of conventional ECC increases the cache error rate by an average of 151.7% while ROBIN reduces this value by more than 28.6x. © 2019 Association for... 

    A system-level framework for analytical and empirical reliability exploration of stt-mram caches

    , Article IEEE Transactions on Reliability ; 2019 ; 00189529 (ISSN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-transfer torque magnetic RAM (STT-MRAM) is known as the most promising replacement for static random access memory (SRAM) technology in large last-level cache memories (LLC). Despite its high density, nonvolatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM-based cache memory suffers from high error rates mainly due to retention failure (RF), read disturbance, and write failure. Existing studies are limited to estimate the rate of only one or two of these error types for STT-MRAM cache. However, the overall vulnerability of STT-MRAM caches, whose estimation is a must to design cost-efficient reliable caches, has not been studied previously. In... 

    A system-level framework for analytical and empirical reliability exploration of stt-mram caches

    , Article IEEE Transactions on Reliability ; 2019 ; 00189529 (ISSN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-transfer torque magnetic RAM (STT-MRAM) is known as the most promising replacement for static random access memory (SRAM) technology in large last-level cache memories (LLC). Despite its high density, nonvolatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM-based cache memory suffers from high error rates mainly due to retention failure (RF), read disturbance, and write failure. Existing studies are limited to estimate the rate of only one or two of these error types for STT-MRAM cache. However, the overall vulnerability of STT-MRAM caches, whose estimation is a must to design cost-efficient reliable caches, has not been studied previously. In... 

    Optimum low complexity filter bank for generalized orthogonal frequency division multiplexing

    , Article Eurasip Journal on Wireless Communications and Networking ; Volume 2018, Issue 1 , 2018 ; 16871472 (ISSN) Abbaszadeh, M. H ; Khalaj, B. H ; Haghbin, A ; Sharif University of Technology
    Springer International Publishing  2018
    Abstract
    Generalized frequency division multiplexing (GFDM) is one of the multicarrier modulation candidates proposed for the 5th generation of wireless networks. Among GFDM linear receivers, GFDM MMSE receiver achieves the best error performance for multipath fading channels at the cost of high numerical complexity. Hence, the combination of GFDM match filter (MF) receiver and double-side successive interference cancellation (DSIC) method is used instead. However, there is a significant gap between the error performance of GFDM MMSE and DSIC/MF receivers for the case of employing modern channel coding. Recently, we have proposed a new multicarrier scheme based on GFDM architecture called generalized... 

    Effects of linear acceleration in a harmonically excited proof mass MEMS rate gyroscope

    , Article Proceedings of the Institution of Mechanical Engineers, Part C: Journal of Mechanical Engineering Science ; Volume 232, Issue 19 , 2018 , Pages 3551-3563 ; 09544062 (ISSN) Mohammadi, Z ; Salarieh, H ; Sharif University of Technology
    SAGE Publications Ltd  2018
    Abstract
    MEMS rate gyroscopes are prone to different errors because of environmental conditions and manufacturing errors. These errors can result to mismatch between the fabricated gyros and the designed ones. Different environmental conditions and disturbances can change the parameters and specifications of a gyro, and its sensitivity may deteriorate, since the parameters are different from the optimal ones. External linear acceleration is one of the main environmental disturbances that may change the behavior of an MEMS rate gyro that its effect on the accuracy of an MEMS proof mass gyroscope is found here. This is done by finding the equations of motion of gyroscope in presence of acceleration and... 

    A 70 pJ/b configurable 64-QAM soft MIMO detector

    , Article Integration ; Volume 63 , 2018 , Pages 74-86 ; 01679260 (ISSN) Shabany, M ; Patel, D ; Milicevic, M ; Mahdavi, M ; Gulak, P. G ; Sharif University of Technology
    Elsevier B.V  2018
    Abstract
    An area and power efficient high-throughput VLSI implementation of a 4 × 4, 64-QAM soft multiple-input-multiple-output (MIMO) detector, that is suitable for high-order constellation schemes is presented. The proposed MIMO detector utilizes information contained in the discarded paths to improve the bit-error-rate (BER) performance, and then reduces computational complexity using three innovative improvement ideas. The proposed design is fabricated and fully tested in a 130 nm CMOS technology. Operating with a 270 MHz clock, the design achieves up to 655 Mbps throughput with 195 mW power dissipation at 1.32 V supply. Synthesis results in 65 nm CMOS technology shows that the proposed...