Loading...
Search for: integrated-circuit-design
0.007 seconds
Total 62 records

    Minimum power Miller-compensated CMOS operational amplifiers

    , Article Scientia Iranica ; Vol. 21, Issue. 6 , 2014 , pp. 2243-2249 ; e-ISSN :23453605 Meghdadi, M ; Bakhtiar, M. S ; Sharif University of Technology
    Abstract
    A new approach for the design of two-stage Miller-compensated CMOS op amps is presented. The paper studies the basic relations between power consumption, unitygain bandwidth, the biasing region, technology parameters, and the external capacitive load. As a result, simple and efficient design guides are provided to achieve the minimum possible power consumption for the given specifications and for short-channel devices. It is shown that the conventional design procedures do not always result in minimum power op amps. The presented results are also verified by Spectre simulations  

    A novel design methodology for low-noise and high-gain transimpedance amplifiers

    , Article Proceedings of the 2014 Argentine School of Micro-Nanoelectronics, Technology and Applications, EAMTA 2014 ; 2014 , pp. 77-82 ; ISBN: 9789871907861 Shahdoost, S ; Medi, A ; Bozorgzadeh, B ; Saniei, N ; Sharif University of Technology
    Abstract
    This paper reports on design and measurement results of a state of the art low-noise and high-gain transimpedance amplifier (TIA) implemented in 0.18 μm TSMC CMOS technology. Thorough design methodology for high gain and low power TIA design for 2.5 Gb/s optical communication circuits family is presented. A noiseless capacitive feedback is proposed and implemented as a noise efficient feedback network for TIA circuits. Besides, analytical noise calculations in this family of TIA circuits are presented and optimum noise criteria are derived. The saturation and instability problem of TIA circuits resulted from DC dark current of the input photodiodes (PDs) is addressed and a circuit level... 

    Design method for a reconfigurable CMOS LNA with input tuning and active balun

    , Article AEU - International Journal of Electronics and Communications ; Vol. 69, issue. 1 , January , 2014 , p. 424-431 Akbar, F ; Atarodi, M ; Saeedi, S ; Sharif University of Technology
    Abstract
    A method to design a tunable low noise amplifier (LNA) for multiband receivers is proposed. This paper also presents a single-ended to differential conversion (S2DC) topology which improves the LNA linearity without degrading its noise performance. Combining input tuning with S2DC in a single stage reduces power consumption of the LNA and decreases effects of supply noise. An LNA has been designed based on the proposed method for 2.3-4.8 GHz in a 0.18 μm CMOS technology. Simulations show an IIP3 of -3.2 dBm, a less than 3.7 dB noise figure (NF), a voltage gain of 24 dB in the whole frequency range. The LNA draws 13.1 mW from a 1.8 V supply. The results indicate that the proposed tuning... 

    A real-time, low-power implementation for high-resolution eigenvalue-based spectrum sensing

    , Article Analog Integrated Circuits and Signal Processing ; Volume 77, Issue 3 , December , 2013 , Pages 437-447 ; 09251030 (ISSN) Safavi, S. M ; Shabany, M ; Sharif University of Technology
    2013
    Abstract
    In this paper, a novel multiple antenna, high-resolution eigenvalue-based spectrum sensing algorithm based on the FFT of the received signal is introduced. The proposed platform overcomes the SNR wall problem in the conventional energy detection (ED) algorithm, enabling the detection of the weak signals at -10 dB SNR. Moreover, the utilization of FFT for the input signal channelization provides a simple, low-power design for a high-resolution spectrum sensing regime. A real-time, low-area, and low-power VLSI architecture is also developed for the algorithm, which is implemented in a 0.18 μm CMOS technology. The implemented design is the first eigenvalue-based detection (EBD) architecture... 

    A UHF-RFID transceiver with a blocker-canceller feedback and 30 dBm output power

    , Article IEEE Transactions on Circuits and Systems I: Regular Papers ; Volume 60, Issue 11 , 2013 , Pages 3043-3054 ; 15498328 (ISSN) Ghahremani, A ; Rezaei, V. D ; Bakhtiar, M. S ; Sharif University of Technology
    2013
    Abstract
    A single chip UHF-RFID transceiver front-end is presented. The chip was designed according to EPCglobal Class-1 Gen-2 and supports both ETSI and FCC requirements. The receiver front end is capable of rejecting self-jammers as large as 10 dBm with the aid of a feedback loop. The stability and the robustness of the loop and other system requirements are studied. A 30 dBm class-AB power amplifier (PA) with 28% PAE is also integrated on the chip. The pseudo differential architecture of the PA greatly reduces the injection of the signal into the substrate. A simple model is used to estimate the effect of the substrate noise injection by the PA on the receiving circuit modules and design guides... 

    A UHF micro-power CMOS rectifier using a novel diode connected CMOS transistor for micro-sensor and RFID applications

    , Article International Conference on Electronic Devices, Systems, and Applications ; 2012 , Pages 234-238 ; 21592047 (ISSN) ; 9781467321631 (ISBN) Shokrani, M. R ; Hamidon, M. N ; Khoddam, M ; Najafi, V ; Sharif University of Technology
    2012
    Abstract
    The design strategy and efficiency optimization of UHF micro-power rectifiers using a novel diode connected MOS transistor is presented. The proposed diode connected MOS transistor uses a new bulk connection which leads to reduce the threshold voltage and leakage current in compare to conventional diode connected transistors. Using the proposed diode in typical rectifiers makes a significant improvement in output voltage and current therefore the efficiency is increased comparing to the same rectifier architectures using conventional diodes. Also a design procedure for efficiency optimization is presented and a superposition method is used to optimize the performance of multiple output... 

    SPCM: The striped phase change memory

    , Article ACM Transactions on Architecture and Code Optimization ; Volume 12, Issue 4 , January , 2015 ; 15443566 (ISSN) Hoseinzadeh, M ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2015
    Abstract
    Phase Change Memory (PCM) devices are one of the known promising technologies to take the place of DRAM devices with the aim of overcoming the obstacles of reducing feature size and stopping ever growing amounts of leakage power. In exchange for providing high capacity, high density, and nonvolatility, PCM Multilevel Cells (MLCs) impose high write energy and long latency. Many techniques have been proposed to resolve these side effects. However, read performance issues are usually left behind the great importance of write latency, energy, and lifetime. In this article, we focus on read performance and improve the critical path latency of the main memory system. To this end, we exploit... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; April , 2016 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the... 

    Designing the FPGA-based system for Triangle Phase space Mapping (TPSM) of heart rate variability (HRV) signal

    , Article 2015 38th International Conference on Telecommunications and Signal Processing, TSP 2015, 9 July 2015 through 11 July 2015 ; July , 2015 , Page(s): 1 - 4 ; 9781479984985 (ISBN) Rezaei, S ; Moharreri, S ; Ghorshi, A ; Molnar K ; Herencsar N ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    There has been an increasing interest in telemonitoring thanks to the availability of new technologies for data transmission and processing with better performances and lower costs. In this paper, we try to develop and implement the HRV signal processing into a Field Programmable Gate Array (FPGA). The hardware implementing algorithm was developed in Verilog Hardware Description Language (HDL). In designed hardware, after defining the number of samples in the input, we extract and analyses the Triangular Phase Space Mapping (TPSM), a novel method for representation of heart rate. The performance of the system was tested using MATLAB and validated based on the input signals  

    An efficient reconfigurable architecture by characterizing most frequent logic functions

    , Article 25th International Conference on Field Programmable Logic and Applications, FPL 2015, 2 September 2015 through 4 September 2015 ; Sept , 2015 , Page(s): 1 - 6 ; 9780993428005 (ISBN) Ahmadpour, I ; Khaleghi, B ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Generous flexibility of Look-Up Tables (LUTs) in implementing arbitrary functions comes with significant performance and area overheads compared with their Application-Specific Integrated Circuit (ASIC) equivalent. One approach to alleviate such overheads is to use less flexible logic elements capable to implement majority of logic functions. In this paper, we first investigate the most frequently used functions in standard benchmarks and then design a set of less-flexible but area-efficient logic cells, called Hard Logics (HL). Since higher input functions have diverse classes, we leverage Shannon decomposition to break them into smaller ones to either reduce the HL design space complexity... 

    Design of robust SRAM cells against single-event multiple effects for nanometer technologies

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 15, Issue 3 , 2015 , Pages 429-436 ; 15304388 (ISSN) Rajaei, R ; Asgari, B ; Tabandeh, M ; Fazeli, M ; Sharif University of Technology
    Abstract
    As technology size scales down toward lower two-digit nanometer dimensions, sensitivity of CMOS circuits to radiation effects increases. Static random access memory cells (SRAMs) that are mostly employed as high-performance and high-density memory cells are prone to radiation-induced single-event upsets. Therefore, designing reliable SRAM cells has always been a serious challenge. In this paper, we propose two novel SRAM cells, namely, RHD11 and RHD13, that provide more attractive features than their latest proposed counterparts. Simulation results show that our proposed SRAM cells as compared with some state-of-the-art designs have considerably higher robustness against single-event... 

    Power delivery solutions in 3-D processor-DRAM systems in presence of hot spots

    , Article 2014 IEEE 23rd Conference on Electrical Performance of Electronic Packaging and Systems, EPEPS 2014, 26 October 2014 through 29 October 2014 ; Oct , 2014 , Pages 207-210 ; 9781479936410 (ISBN) Zabihi, M ; Radfar, F ; Sarvari, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2014
    Abstract
    An important application of 3-D integration technology is stacked processor-DRAM systems. One of the major design issues in 3-D processor-DRAM stacks is power delivery. Presence of hot spots, high density power regions, in processor die poses serious challenges to the design of power distribution network (PDN). In this paper, we investigate solutions to ensure power integrity in the hot spot regions  

    Addressing NoC reliability through an efficient fibonacci-based crosstalk avoidance codec design

    , Article Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 18 November 2015 through 20 November 2015 ; Volume 9530 , 2015 , Pages 756-770 ; 03029743 (ISSN); 9783319271361 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Wang, G ; Perez, G. M ; Zomaya, A ; Li, K ; Sharif University of Technology
    Springer Verlag  2015
    Abstract
    The reliable transfer in Network on Chips (NoCs) can be threatened by crosstalk fault occurring in wires. Crossstalk fault is due to inter-wire coupling capacitance that based on the patterns of transitions appearing on the wires, significantly limits the reliability of NoCs. Among these transitions, 101 and 010 bit patterns impose the worst crosstalk effects to wires. This work intends to increase the reliability of NoCs against crosstalk faults by applying an improved Fibonacci-based numeral system, called Doubled-Penultimate Fibonacci (DP-Fibo). In the DP-Fibo coding algorithm, code words without ‘101’ and ‘010’ bit patterns are produced to reduce crosstalk faults. Experimental results... 

    Yield-driven design-time task scheduling techniques for multi-processor system on chips under process variation: A comparative study

    , Article IET Computers and Digital Techniques ; Volume 9, Issue 4 , 2015 , Pages 221-229 ; 17518601 (ISSN) Momtazpour, M ; Assare, O ; Rahmati, N ; Boroumand, A ; Barati, S ; Goudarzi, M ; Sharif University of Technology
    Institution of Engineering and Technology  2015
    Abstract
    Process variation has already emerged as a major concern in design of multi-processor system on chips (MPSoC). In recent years, there have been several attempts to bring variability awareness into the task scheduling process of embedded MPSoCs to improve performance yield. This study attempts to provide a comparative study of the current variation-aware design-time task and communication scheduling techniques that target embedded MPSoCs. To this end, the authors first use a sign-off variability modelling framework to accurately estimate the frequency distribution of MPSoC components. The task scheduling methods are then compared in terms of both the quality of the final solution and the... 

    Accelerating the performance of parallel depth-first-search branch-and-bound algorithm in transportation network design problem

    , Article ICORES 2015 - 4th International Conference on Operations Research and Enterprise Systems, Proceedings, 10 January 2015 through 12 January 2015 ; January , 2015 , Pages 359-366 ; 9789897580758 (ISBN) Zarrinmehr, A ; Shafahi, Y ; Sharif University of Technology
    SciTePress  2015
    Abstract
    Transportation Network Design Problem (TNDP) aims at selection of a subset of proposed urban projects in budget constraint to minimize the network users' total travel time. This is a well-known resource-intensive problem in transportation planning literature. Application of parallel computing, as a result, can be useful to address the exact solution of TNDP. This paper is going to investigate how the performance of a parallel Branch-and-Bound (B&B) algorithm with Depth-First-Search (DFS) strategy can be accelerated. The paper suggests assigning greedy solutions to idle processors at the start of the algorithm. A greedy solution, considered in this paper, is a budget-wise feasible selection... 

    Design of electrostatic actuators for suppressing vertical disturbances of CMOS-MEMS capacitive force sensors in bio applications

    , Article Mechanics and Industry ; Volume 16, Issue 3 , 2015 ; 22577777 (ISSN) Jalil Mozhdehi, R ; Selk Ghafari, A ; Khayyat, A. A ; Sharif University of Technology
    EDP Sciences  2015
    Abstract
    The objective of this work is to design electrostatic actuators for a CMOS-MEMS nano-newton capacitive force sensor to suppress vertical vibrations disturbances. Electrostatic actuators are selected because the movable part of this force sensor is anchored to the fixed parts. In the first step, we propose a framework for simulation of the force sensor based on finite element method. The proposed model is modified utilizing comparison between the simulation and experimental models to improve the performance of the model. Then, 14 pairs of electrostatic actuators are designed for applying the control algorithm and their pull-in voltage is calculated. In next step, Modal Analysis is applied to... 

    Heuristic algorithm for periodic clock optimisation in scheduling-based latency-insensitive design

    , Article IET Computers and Digital Techniques ; Volume 9, Issue 3 , May , 2015 , Pages 165-174 ; 17518601 (ISSN) Zare, M ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
    Institution of Engineering and Technology  2015
    Abstract
    Delay in communication wires causes design iterations in system-on-chip. Latency-insensitive design copes with this issue by encapsulating each core in a shell wrapper and inserting buffers in the wires to separate the design of core from that of communication wires. Scheduling-based latency-insensitive protocol is a methodology which employs shift registers for periodic clock gating of blocks instead of the shell wrappers. In many cases, the bit sequences inside the shift registers are too long and therefore consume a large area. This study presents a heuristic algorithm that optimises the bit sequences and produces them with shorter lengths compared with the existing method. The algorithm... 

    Designing low power and durable digital blocks using shadow nanoelectromechanical relays

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 12 , 2016 , Pages 3489-3498 ; 10638210 (ISSN) Yazdanshenas, S ; Khaleghi, B ; Ienne, P ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    Nanoelectromechanical (NEM) relays are a promising emerging technology that has gained widespread research attention due to its zero leakage current, sharp ON-OFF transitions, and complementary metal-oxide-semiconductor compatibility. As a result, NEM relays have been significantly investigated as highly energy-efficient design solutions. A major shortcoming of NEMs preventing their widespread use is their limited switching endurance. Hence, in order to utilize the low-power advantages of NEM relays, further device, circuit, and architectural techniques are required. In this paper, we introduce the concept of shadow NEM relays, which is a circuit-level technique to leverage the energy... 

    CNTFET full-adders for energy-efficient arithmetic applications

    , Article 6th International Conference on Computing, Communications and Networking Technologies, 13 July 2015 through 15 July 2015 ; 2015 ; 9781479979844 (ISBN) Grailoo, M ; Hashemi, M ; Haghshenas, K ; Rezaee, S ; Rapolu, S ; Nikoubin, T ; University of North Texas ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    In this paper, we present two energy-efficient full adders (FAs) which are a crucial building block of nano arithmetic logic units (nano-ALUs) with the Cell Design Methodology (CDM). Since the most suitable design configuration for CNT-based ICs is pass transistor configuration (PTL), CDM which properly benefits from PTL advantages is utilized. So the designs herewith take full advantages of simplicity, fewer transistors and better immunity against threshold voltage fluctuations of the PTL than the CCMOS configuration. CDM also resolves two problems of PTL by employing elegant mechanisms which are threshold voltage drop and loss of gain. Using the amend mechanisms and SEA sizing algorithm... 

    LATED: lifetime-aware tag for enduring design

    , Article Proceedings - 2015 11th European Dependable Computing Conference, EDCC 2015, 7 September 2015 through 11 September 2015 ; 2015 , Pages 97-107 ; 9781467392891 (ISBN) Ghaemi, S. G ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Nowadays, leakage energy constitutes up to 80% of total cache energy consumption and tag array is responsible for a considerable fraction of static energy consumption. An approach to reduce static energy consumption is to replace SRAMs by STT-RAMs with near zero leakage power. However, a problem of an STT-RAM cell is its limited write endurance. In spite of previous studies which have targeted the data array, in this study STT-RAMs are used in the L1 tag array. To solve the write endurance problem, this paper proposes an STTRAM/SRAM tag architecture. Considering the spatial locality of memory references, the lower significant bitlines of the tag update more. The SRAM part handles the updates...