Loading...
Search for: errors
0.013 seconds
Total 1266 records

    A multi-bit error tolerant register file for a high reliable embedded processor

    , Article 2011 18th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 22011, 11 December 2011 through 14 December 2011 ; December , 2011 , Pages 532-537 ; 9781457718458 (ISBN) Esmaeeli, S ; Hosseini, M ; Vahdat, B. V ; Rashidian, B ; Sharif University of Technology
    2011
    Abstract
    The vulnerability of microprocessors to soft errors is increasing due to continuous shrinking in fabrication process. Recent studies show that 1-5% of the SEUs (single event upset) can cause MBUs (multiple bit upsets). The probability of MBU generation due to SEU is increasing because of the reduction in minimum energy required to flip a memory bit in modern technologies. Register file is the most sensitive component in a microprocessor. In this paper, we present an innovative way to protect registers in a 64-bit register file for a RISC processor using extended Hamming (8, 4) code (SEC-DED code) and narrow-width values. A narrow-width value can be represented by half number of bits of the... 

    Software-based control flow error detection and correction using branch triplication

    , Article Proceedings of the 2011 IEEE 17th International On-Line Testing Symposium, IOLTS 2011, 13 July 2011 through 15 July 2011 ; July , 2011 , Pages 214-217 ; 9781457710551 (ISBN) Ghalaty, N. F ; Fazeli, M ; Rad, H. I ; Miremadi, S. G ; Sharif University of Technology
    2011
    Abstract
    Ever Increasing use of commercial off-the-shelf (COTS) processors to reduce cost and time to market in embedded systems has brought significant challenges in error detection and recovery methods employing in such systems. This paper presents a software based control flow error detection and correction technique, so called branch TMR (BTMR), suitable for use in COTS-based embedded systems. In BTMR method, each branch instruction is triplicated and a software interrupt routine is invoked to check the correctness of the branch instruction. During the execution of a program, when a branch instruction is executed, it is compared with the second redundant branch in the interrupt routine. If a... 

    Error concealment using wide motion vector space for H.264/AVC

    , Article 2011 19th Iranian Conference on Electrical Engineering, ICEE 2011, 17 May 2011 through 19 May 2011 ; May , 2011 ; 9789644634284 (ISBN) Araghi, A ; Panahi, M. A ; Kasaei, S ; Sharif University of Technology
    2011
    Abstract
    Due to fast growth of wireless mobile networks, video transmission over wireless media has been widely studied. As wireless networks are error prone, there is a high possibility of loss in sent packets. Since time limitations in real-time video applications should be met, the delay-related to resending packets is not acceptable and the error should to be concealed at receiver side. With respect to different concealment methods, two new methods for temporal error concealment are proposed. In the first method, an optimized set of motion vectors is formed using motion vectors in surrounding blocks of the lost macroblock, and then this set is searched for the best motion vector. For extending... 

    ORIENT: organized interleaved ECCs for new STT-MRAM caches

    , Article Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018 ; Volume 2018-January , 19 April , 2018 , Pages 1187-1190 ; 9783981926316 (ISBN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Sharif University of technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) is a promising alternative to SRAM in cache memories. However, STT-MRAMs face with high probability of write errors due to its stochastic switching behavior. To correct the write errors, Error-Correcting Codes (ECCs) used in SRAM caches are conventionally employed. A cache line consists of several codewords and the data bits are selected in such a way that the maximum correction capability is provided based on the error patterns in SRAMs. However, the different write error patterns in STT-MRAM caches leads to inefficiency of conventional ECC configurations. In this paper, first we investigate the efficiency of ECC configurations... 

    A Micro-FT-UART for safety-critical SoC-based applications

    , Article International Conference on Availability, Reliability and Security, ARES 2009, Fukuoka, Fukuoka Prefecture, 16 March 2009 through 19 March 2009 ; 2009 , Pages 316-321 ; 9780769535647 (ISBN) Razmkhah, M. H ; Miremadi, S. G ; Ejlali, A. I ; Sharif University of Technology
    2009
    Abstract
    This paper presents the design of a fault-tolerant universal asynchronous receiver transmitter (UART) called micro-FT-UART for safety-critical SoC-based applications. This UART exploits advantages of three fault-tolerant techniques to tolerate soft errors. The three techniques are triple modular redundancy (TMR), Hamming code and a new technique called correction by parity storing (CPS). An VHDL model of a micro-UART is simulated by the ModelSim v.6.0 and synthesized by the Synopsys Design Compiler v.X-2005.09- SP2. About 1000 single-bit errors and 1000 multiple-bit errors are injected into different parts of the micro-UART to find out the error sensitivity of each specific part. Considering... 

    Robin: incremental oblique interleaved ECC for reliability improvement in STT-MRAM caches

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 21 January 2019 through 24 January 2019 ; 2019 , Pages 173-178 ; 9781450360074 (ISBN) Cheshmikhani, E ; Farbeh, H ; Asadi, H ; ACM SIGDA; Cadence Design Systems, Inc.; CEDA; EIC; IEEE CAS; IPSJ ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) is a promising alternative for SRAMs in on-chip cache memories. Besides all its advantages, high error rate in STT-MRAM is a major limiting factor for on-chip cache memories. In this paper, we first present a comprehensive analysis that reveals that the conventional Error-Correcting Codes (ECCs) lose their efficiency due to data-dependent error patterns, and then propose an efficient ECC configuration, so-called ROBIN, to improve the correction capability. The evaluations show that the inefficiency of conventional ECC increases the cache error rate by an average of 151.7% while ROBIN reduces this value by more than 28.6x. © 2019 Association for... 

    Design of Robust Digital Circuits Against Soft Errors Considering Multiple Event Transients Fault (METs)

    , M.Sc. Thesis Sharif University of Technology Rezaei, Siavash (Author) ; Miremadi, Ghasem (Supervisor)
    Abstract
    Nowadays, one of the most important challenges in the design of digital circuits is their susceptibility to the strike of high energy particles which leads to the Single Event Transient (SET) and Multiple Event Transients (MET). In fact, technology scaling which results in lower supply voltage, higher operating frequency, and lower nodal capacitance, makes today’s digital circuits more susceptible not only to high energy particles but also to low energy particles. Moreover emerging deep sub-micron technologies and the integration of more cells in today’s chips have caused higher probability of MET occurrences. A lot of research has tried to reduce the soft error rate due to high energy... 

    Improving the Reliability of the STT-RAM Caches Against Transient Faults

    , M.Sc. Thesis Sharif University of Technology Azad, Zahra (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Cache memories occupy a large portion of processors chip area. According to academic and industrial reports, the dominant effect of leakage current in less than 40-nm technology nodes has led to serious challenges in scalability and energy consumption of SRAM and DRAM memories. To overcome this challenge, different types of non-volatile memories have been introduced. Among them, Spin-Transfer Torque Random Access Memory (STT-RAM) memory is known as the best candidate to replace SRAM in the cache memories, due to its high density and low access latency. Despite their advantages over SRAMs, several problems in STT-RAM need to be addressed to make it applicable in cache memories. The most... 

    A data recomputation approach for reliability improvement of scratchpad memory in embedded systems

    , Article Proceedings - IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems ; 2014 , pp. 228-233 Sayadi, H ; Farbeh, H ; Monazzah, A. M. H ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    Scratchpad memory (SPM) is extensively used as the on-chip memory in modern embedded processors alongside of the cache memory or as its alternative. Soft errors in SPM are one of the major contributors to system failures, due to ever-increasing susceptibility of SPM cells to energetic particle strikes. Since a large fraction of soft errors occurs in the shape of Multiple-Bit Upsets (MBUs), traditional memory protection techniques, i.e., Error Correcting Code (ECCs), are not affordable for SPM protection; mainly because of their limited error coverage and/or their high overheads. This paper proposes a novel algorithm that efficiently protects SPM with high error correction capability and... 

    Low-cost scan-chain-based technique to recover multiple errors in TMR systems

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 21, Issue 8 , 2013 , Pages 1454-1468 ; 10638210 (ISSN) Ebrahimi, M ; Miremadi, S. G ; Asadi, H ; Fazeli, M ; Sharif University of Technology
    2013
    Abstract
    In this paper, we present a scan-chain-based multiple error recovery technique for triple modular redundancy (TMR) systems (SMERTMR). The proposed technique reuses scan-chain flip-flops fabricated for testability purposes to detect and correct faulty modules in the presence of single or multiple transient faults. In the proposed technique, the manifested errors are detected at the modules' outputs, while the latent faults are detected by comparing the internal states of the TMR modules. Upon detection of any mismatch, the faulty modules are located and the state of a fault-free module is copied into the faulty modules. In case of detecting a permanent fault, the system is degraded to a... 

    Prediction of reaction force on external indenter in cell injection experiment using support vector machine technique

    , Article ASME International Mechanical Engineering Congress and Exposition, Proceedings (IMECE) ; Volume 2 , 2012 , Pages 537-543 ; 9780791845189 (ISBN) Abbasi, A. A ; Ahmadian, M. T ; Sharif University of Technology
    2012
    Abstract
    Evaluation of the reaction force on a tool which is used for exertion of force on biomaterials such as biological cells or soft tissues has applications in virtual reality based medical simulators or haptic tools. In this study, two least square based support vector machine (SVM) models have been constructed to predict the indentation or reaction force on mouse oocyte and embryo cells in cell injection experiment. Inputs of these two models are geometrical parameters of indented cell, namely dimple radius (a), dimple depth (w) and radius of the semicircular curve (R). Experimental data for calibration and prediction of the models have been captured from literatures. The performance of the... 

    Capacity achieving linear codes with random binary sparse generating matrices over the binary symmetric channel

    , Article IEEE International Symposium on Information Theory - Proceedings ; 2012 , Pages 621-625 ; 9781467325790 (ISBN) Kakhaki, A. M ; Abadi, H. K ; Pad, P ; Saeedi, H ; Marvasti, F ; Alishahi, K ; Sharif University of Technology
    IEEE  2012
    Abstract
    In this paper, we prove the existence of capacity achieving linear codes with random binary sparse generating matrices over the Binary Symmetric Channel (BSC). The results on the existence of capacity achieving linear codes in the literature are limited to the random binary codes with equal probability generating matrix elements and sparse parity-check matrices. Moreover, the codes with sparse generating matrices reported in the literature are not proved to be capacity achieving for channels other than Binary Erasure Channel. As opposed to the existing results in the literature, which are based on optimal maximum a posteriori decoders, the proposed approach is based on a different decoder... 

    ScTMR: A scan chain-based error recovery technique for TMR systems in safety-critical applications

    , Article Proceedings -Design, Automation and Test in Europe, DATE, 14 March 2011 through 18 March 2011 ; March , 2011 , Pages 289-292 ; 15301591 (ISSN) ; 9783981080179 (ISBN) Ebrahimi, M ; Miremadi, S. G ; Asadi, H ; Sharif University of Technology
    2011
    Abstract
    We propose a roll-forward error recovery technique based on multiple scan chains for TMR systems, called Scan chained TMR (ScTMR). ScTMR reuses the scan chain flip-flops employed for testability purposes to restore the correct state of a TMR system in the presence of transient or permanent errors. In the proposed ScTMR technique, we present a voter circuitry to locate the faulty module and a controller circuitry to restore the system to the fault-free state. As a case study, we have implemented the proposed ScTMR technique on an embedded processor, suited for safety-critical applications. Exhaustive fault injection experiments reveal that the proposed architecture has the error detection and... 

    Soft error modeling and remediation techniques in ASIC designs

    , Article Microelectronics Journal ; Volume 41, Issue 8 , August , 2010 , Pages 506-522 ; 00262692 (ISSN) Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    2010
    Abstract
    Soft errors due to cosmic radiations are the main reliability threat during lifetime operation of digital systems. Fast and accurate estimation of soft error rate (SER) is essential in obtaining the reliability parameters of a digital system in order to balance reliability, performance, and cost of the system. Previous techniques for SER estimation are mainly based on fault injection and random simulations. In this paper, we present an analytical SER modeling technique for ASIC designs that can significantly reduce SER estimation time while achieving very high accuracy. This technique can be used for both combinational and sequential circuits. We also present an approach to obtain... 

    Modified impulsive synchronization of hyperchaotic systems

    , Article Communications in Nonlinear Science and Numerical Simulation ; Volume 15, Issue 3 , 2010 , Pages 728-740 ; 10075704 (ISSN) Haeri, M ; Dehghani, M ; Sharif University of Technology
    2010
    Abstract
    In an original impulsive synchronization only instantaneous errors are used to determine the impulsive inputs. To improve the synchronization performance, addition of an integral term of the errors is proposed here. In comparison with the original form, the proposed modification increases the impulse distances which leads to reduction in the control cost as the most important characteristic of the impulsive synchronization technique. It can also decrease the error magnitude in the presence of noise. Sufficient conditions are presented through four theorems for different situations (nominal, uncertain, noisy, and noisy uncertain cases) under which stability of the error dynamics is... 

    A novel structure of dithered nested digital delta sigma modulator with low-complexity low-spur for fractional frequency synthesizers

    , Article COMPEL - The International Journal for Computation and Mathematics in Electrical and Electronic Engineering ; Volume 35, Issue 1 , 2016 , Pages 157-171 ; 03321649 (ISSN) Sadat Noori , S. A ; Farshidi, E ; Sadoughi, S ; Sharif University of Technology
    Emerald Group Publishing Ltd 
    Abstract
    Purpose - Digital Delta Sigma Modulator (DDSM) is used widely in electronic circuits including Radars, class-D power amplifiers and fractional frequency synthesizers. The purpose of this paper is to propose an implementation for MASH DDSMs named as Multi Modulus Reduced Complexity (MMRC) architecture. Design/methodology/approach - This architecture will use a very simple pseudorandom Linear Feedback Shift Register (LFSR) dither signal with period N-d to randomize the digital MMRC modulator used for fractional frequency synthesizers. Using error masking methodology, the MMRC modulator can decrease the hardware consumption and increase accuracy of the fractional frequency synthesizer. Rules... 

    A priori error estimation of upscaled coarse grids for water-flooding process

    , Article Canadian Journal of Chemical Engineering ; Volume 94, Issue 8 , 2016 , Pages 1612-1626 ; 00084034 (ISSN) Khoozan, D ; Firoozabadi, B ; Sharif University of Technology
    Wiley-Liss Inc 
    Abstract
    Advanced reservoir characterization methods can yield geological models at a very fine resolution, containing 1011–1018 cells, while the common reservoir simulators can only handle much lower numbers of cells due to computer hardware limitations. The process of coarsening a fine-scale model to a simulation model is known as upscaling. Predicting the accuracy of simulation results over an upscaled grid with respect to the fine grid is highly important, as it can yield the optimum upscaling process. In this paper, permeability-based and velocity-based a priori error estimation techniques are proposed by introducing image processing-based comparison methods in the context of upscaling. The... 

    Layout-Based modeling and mitigation of multiple event transients

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 35, Issue 3 , 2016 , Pages 367-379 ; 02780070 (ISSN) Ebrahimi, M ; Asadi, H ; Bishnoi, R ; Baradaran Tahoori, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Radiation-induced multiple event transients (METs) are expected to become more frequent than single event transients (SETs) at nanoscale CMOS technology nodes. In this paper, a fast and accurate layout-based soft error rate (SER) assessment technique with consideration of both SET and MET fault models is presented. Despite existing techniques in which the adjacent MET sites are extracted from a logic-level netlist, we conduct a comprehensive layout analysis to obtain MET adjacent cells. Experimental results reveal that the layout-based technique is the only viable solution for identification of the adjacent cells as netlist-based techniques considerably underestimate the overall SER.... 

    Fabrication, characterization, and error mitigation of non-flat sun sensor

    , Article Sensors and Actuators, A: Physical ; Volume 261 , 2017 , Pages 243-251 ; 09244247 (ISSN) Yousefian, P ; Durali, M ; Rashidian, B ; Jalali, M. A ; Sharif University of Technology
    Abstract
    We report the design, fabrication and error analysis of a sun sensor array composed of six photodiodes. The sensor estimates the direction of the sun using a linear least squares method. The performance of the sensor is deteriorated by three major sources: fabrication errors, scattered environmental light, and inexact modeling of photodiodes. Using a calibration procedure and modeling the uniform component of the environmental light, we mitigate the first two errors and significantly reduce root mean squared error from 2.63° to 0.83°. For a Field of View (FOV) of 110°, the maximum estimation error also drops from 3.8° to 1.6°. Through exact mathematical modeling of photodiodes, we... 

    An efficient Protection Technique for last level STT-RAM caches in multi-core processors

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 28, Issue 6 , 2017 , Pages 1564-1577 ; 10459219 (ISSN) Azad, Z ; Farbeh, H ; Hosseini Monazzah, A. M ; Miremadi, S. G ; Sharif University of Technology
    IEEE Computer Society  2017
    Abstract
    Due to serious problems of SRAM-based caches in nano-scale technologies, researchers seek for new alternatives. Among the existing options, STT-RAMseems to be themost promising alternative.With high density and negligible leakage power, STT-RAMs open a new door to respond to future demands of multi-core systems, i.e., large on-chip caches. However, several problems in STT-RAMs should be overcome to make it applicable in on-chip caches.High probability of write error due to stochastic switching is amajor problemin STT-RAMs. Conventional Error-CorrectingCodes (ECCs) impose significant area and energy consumption overheads to protect STT-RAMcaches. These overheads in multi-core processors with...