Loading...
Search for: power-consumption
0.007 seconds
Total 257 records

    A low-complexity fully scalable interleaver/address generator based on a novel property of QPP interleavers

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 28 May 2017 through 31 May 2017 ; 2017 ; 02714310 (ISSN) ; 9781467368520 (ISBN) Ardakani, A ; Shabany, M ; Sharif University of Technology
    Abstract
    5-th generation mobile networks aim the peak data rates in excess of few Gbs, which may appear to be challenging to achieve due to the existence of some blocks such as the turbo decoder. In fact, the interleaver is known to be a major challenging part of the turbo decoder due to its need to the parallel interleaved memory access. LTE uses Quadratic Permutation Polynomial (QPP) interleaver, which makes it suitable for the parallel decoding. In this paper, a new property of the QPP interleaver, called the correlated shifting property, is theoretically proved, leading to a fully scalable interleaver and a low-complexity address generator for an arbitrary order of parallelism. The proposed... 

    A low power high resolution time to digital converter for ADPLL application

    , Article 59th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2016, 16 October 2016 through 19 October 2016 ; 2017 ; 15483746 (ISSN); 9781509009169 (ISBN) Molaei, H ; Hajsadeghi, K ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    A new nonlinear Time to Digital Converter (TDC) based on time difference amplification is the proposed. A new gain compensation method is presented to expand the DR of conventional × 2 Time Amplifiers (TAs). Instead of conventional gain compensation approach based on changing strength of current sources, the proposed technique uses current difference which results more stable gain over wider DR. In order to avoid two different paths of the stages, a sign bit detection part is the proposed at the front of the TDC to allow using one path of stages for both positive and negative input time differences. As a result, the most advantages of the proposed TDC are its high resolution, wide DR, and... 

    SPONGE: a scalable pivot-based on/off gating engine for reducing static power in NoC routers

    , Article Proceedings of the International Symposium on Low Power Electronics and Design23 July 2018 ; 23-25 July , 2018 ; 15334678 (ISSN) ; 9781450357043 (ISBN) Farrokhbakht, H ; Mardani Kamali, H ; Jerger, N. E ; Hessabi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Due to high aggregate idle time of Networks-on-Chip (NoCs) routers in practical applications, power-gating techniques have been proposed to combat the ever-increasing ratio of static power. Nevertheless, the sporadic packet arrivals compromise the effectiveness of power-gating by incurring significant latency and energy overhead. In this paper, we propose a Scalable Pivot-based On/Off Gating Engine (SPONGE) which efficiently manages power-gating decisions and routing mechanism by adaptively selecting a small set of powered-on columns of routers and keeping the others in power-gated state. To this end, a router architecture augmented with a novel routing algorithm is proposed in which a... 

    Prediction of the lower extremity muscle forces during stair ascent and descent

    , Article 2008 Proceedings of the ASME International Design Engineering Technical Conferences and Computers and Information in Engineering Conference, DETC 2008, 3 August 2008 through 6 August 2008, New York City, NY ; Volume 3, Issue PART B , 2009 , Pages 1589-1593 ; 9780791843253 (ISBN) Selk Ghafari, A ; Meghdari, A ; Vossoughi, G. R ; Design Engineering Division, ASME ; Sharif University of Technology
    2009
    Abstract
    An inverse dynamics musculoskeletal model of the lower extremity was combined with an optimization technique to estimate individual muscular forces and powers during stair ascent and descent. Eighteen Hill-type musculotendon actuators per leg were combined into the eleven functional muscle groups based on anatomical classification to drive the model in the sagittal plane. Simulation results illustrate the major functional differences in plantar flexors of the ankle and extensors of the knee and hip joints during ascent and descent. The results of this study not only could be employed to evaluate the rehabilitation results in the elderly but also could be used to design more anthropometric... 

    A high speed and low cost error correction technique for the carry select adder

    , Article International Conference on Availability, Reliability and Security, ARES 2009, Fukuoka, Fukuoka Prefecture, 16 March 2009 through 19 March 2009 ; 2009 , Pages 635-640 ; 9780769535647 (ISBN) Namazi, A ; Miremadi, S. G ; Ejlali, A ; Sharif University of Technology
    2009
    Abstract
    In this paper, a high speed and low cost error correction technique is proposed for the Carry Select Adder (CSA) which can correct both transient and permanent errors and is applicable on all partitioning types of the basic CSA circuit. The proposed error correction technique is compatible with all existing error detection techniques which are proposed for the CSA adder. The synthesized results show that applying this novel error correction technique to a CSA with error detection technique results in up to 18.4%, 3.1% and 14.9%, increase in power consumption, delay and area respectively. © 2009 IEEE  

    The 2D DBM: an attractive alternative to the simple 2D mesh topology for On-Chip networks

    , Article 26th IEEE International Conference on Computer Design 2008, ICCD, Lake Tahoe, CA, 12 October 2008 through 15 October 2008 ; 2008 , Pages 486-490 ; 9781424426584 (ISBN) Sabbaghi Nadooshan, R ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    2008
    Abstract
    During the recent years, 2D mesh network-onchip has attracted much attention due to its suitability for VLSI implementation. The 2-dimensional de Bruijn topology for network-on-chip is introduced in this paper as an attractive alternative to the popular simple 2D mesh NoC. Its cost is equal to that of the simple 2D mesh but it has a logarithmic diameter. We compare the proposed network and the popular mesh network in terms of power consumption and network performance. Compared to the equal sized simple mesh NoC, the proposed de Bruijn-based network has better performance while consuming less energy. © 2008 IEEE  

    The kautz mesh: a new topology for SoCs

    , Article 2008 International SoC Design Conference, ISOCC 2008, Busan, 24 November 2008 through 25 November 2008 ; Volume 1 , 2008 , Pages I300-I303 ; 9781424425990 (ISBN) Sabbaghi Nadooshan, R ; Sarbazi Azad, H ; Sharif University of Technology
    2008
    Abstract
    Nowadays networks-on-chip are emerging as a hot topic in IC designs with high integration. In addition to popular mesh topologies, other structures can also be considered especially in 3D VLSI design. The Kautz topology is one of the interconnection architectures for multiprocessors. In this paper we propose an efficient three dimensional layout for a novel 2D mesh structure based on the Kautz topology. Simulation results show that by using the third dimension, performance and latency can be improved compared to the 2D VLSI implementation. ©2008 IEEE  

    Design and synthesis of AKAM: A RISC asynchronous microprocessor

    , Article 2007 International Conference on Intelligent and Advanced Systems, ICIAS 2007, Kuala Lumpur, 25 November 2007 through 28 November 2007 ; 2007 , Pages 1318-1323 ; 1424413559 (ISBN); 9781424413553 (ISBN) Mirza Aghatabar, M ; Rasooli, A ; Jafarpour, B ; Sharif University of Technology
    2007
    Abstract
    Asynchronous microprocessors are more flexible to adapt to physical parameters, and have lower power consumption than synchronous microprocessors. In this paper we will introduce the design of an asynchronous microprocessor (V8-uRISC) and explore its design process compared to synchronous design. The processor is synthesized by Persia, an automatic tool for synthesizing asynchronous circuits. We have performed full functional test at various levels of design and synthesis. Our results show that an area overhead is expected for the asynchronous design as the cost for lower power and more robustness. ©2007 IEEE  

    Power consumption evaluation of sleep mode in the IEEE 802.16e MAC with multi service connections

    , Article 2007 IEEE International Conference on Signal Processing and Communications, ICSPC 2007, Dubai, 14 November 2007 through 27 November 2007 ; 2007 , Pages 1363-1366 ; 9781424412365 (ISBN) Pour Nejatian, N. M ; Nayebi, M. M ; Tadaion, A. A ; Sharif University of Technology
    2007
    Abstract
    In the sleep mode, a Mobile Subscribe Station (MSS) sleeps for a sleep interval and wakes up at the end of this interval in order to check buffered packet(s) at Base Station (BS) destined to it. If there is no packet, the MSS increases the sleep window up to the maximum value or keeps it unchanged and sleeps again. In this paper, we study the effect of presence of multi service connections with different power saving classes (PSCs) on power consumption for IEEE 802.16e nodes while operating in the sleep mode. Using multi service connections may result in overlapping of availability and unavailability intervals and reducing the effectiveness of power saving mode of the subscriber. © 2007 IEEE... 

    PF-DRAM: A precharge-free DRAM structure

    , Article 48th ACM/IEEE Annual International Symposium on Computer Architecture, ISCA 2021, 14 June 2021 through 19 June 2021 ; Volume 2021-June , 2021 , Pages 126-138 ; 10636897 (ISSN); 9781665433334 (ISBN) Rohbani, N ; Darabi, S ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Although DRAM capacity and bandwidth have increased sharply by the advances in technology and standards, its latency and energy per access have remained almost constant in recent generations. The main portion of DRAM power/energy is dissipated by Read, Write, and Refresh operations, all initiated by a Precharge phase. Precharge phase not only imposes a large amount of energy consumption, but also increases the delay of closing a row in a memory block to open another one. By reduction of row-hit rate in recent workloads, especially in multi-core systems, precharge rate increases which exacerbates DRAM power dissipation and access latency. This work proposes a novel DRAM structure, called... 

    Highly concurrent latency-tolerant register files for GPUs

    , Article ACM Transactions on Computer Systems ; Volume 37, Issue 1-4 , 2021 ; 07342071 (ISSN) Sadrosadati, M ; Mirhosseini, A ; Hajiabadi, A ; Ehsani, S. B ; Falahati, H ; Sarbazi Azad, H ; Drumond, M ; Falsafi, B ; Ausavarungnirun, R ; Mutlu, O ; Sharif University of Technology
    Association for Computing Machinery  2021
    Abstract
    Graphics Processing Units (GPUs) employ large register files to accommodate all active threads and accelerate context switching. Unfortunately, register files are a scalability bottleneck for future GPUs due to long access latency, high power consumption, and large silicon area provisioning. Prior work proposes hierarchical register file to reduce the register file power consumption by caching registers in a smaller register file cache. Unfortunately, this approach does not improve register access latency due to the low hit rate in the register file cache. In this article, we propose the Latency-Tolerant Register File (LTRF) architecture to achieve low latency in a two-level hierarchical... 

    A Methodology to Minimize Power of Receivers for Internet of Things

    , Ph.D. Dissertation Sharif University of Technology Fazel, Ziba (Author) ; Atarodi, Mojtaba (Supervisor) ; Sadughi, Sirus (Supervisor)
    Abstract
    The number of connected devices through the internet of things is increasing rapidly, which leads to adopting different communication technologies to provide connectivity of this large number of devices. The used communication technologies can be classified into the local area network, low-power wide-area network (LPWAN), and cellular network technologies. The receiver power has a critical role in the total power consumption of utilized transceiver chips in these technologies. Radiofrequency (RF) front-ends are often significant power-consuming parts of integrated receivers. Therefore, system-level design in which the overall specifications are distributed among RF front-end building blocks... 

    A low power, eight-phase LC-ring oscillator for clock and data recovery application

    , Article 2012 Workshop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits, INMMIC 2012 ; 2012 ; 9781467329491 (ISBN) Parkalian, N ; Hajsadeghi, K ; Sharif University of Technology
    2012
    Abstract
    A four stage LC-ring oscillator is presented. Eight different phases are generated in which there in 45 degrees phase difference between consecutive outputs and direction of phases is defined. Nmos capacitors in parallel with constant capacitors are used for coupling between stages. The control voltage is applied to Pmos varactors to adjust the oscillation frequency. The advantages of this structure are the rather small inductors size, low power consumption, and tuning curve linearity. The proposed structure is simulated in 0.18um CMOS technology. Power consumption for each stage is 4.8mW from a 1.8B supply. The proposed VCO has a phase noise of -121dBc/Hz at 1MHz offset from the center... 

    Data center power reduction by heuristic variation-aware server placement and chassis consolidation

    , Article CADS 2012 - 16th CSI International Symposium on Computer Architecture and Digital Systems ; 2012 , Pages 150-155 ; 9781467314824 (ISBN) Pahlavan, A ; Momtazpour, M ; Goudarzi, M ; Sharif University of Technology
    2012
    Abstract
    The growth in number of data centers and its power consumption costs in recent years, along with ever increasing process variation in nanometer technologies emphasizes the need to incorporate variation-aware power reduction strategies in early design stages. Moreover, since the power characteristics of identically manufactured servers vary in the presence of process variation, their position in the data center should be optimally determined. In this paper, we introduce two heuristic variation-aware server placement algorithm based on power characteristic of servers and heat recirculation model of data center. In the next step, we utilize an Integer Linear Programming (ILP) based... 

    New method to synthesize the frequency bands with DLL-based frequency synthesizer

    , Article 2011 International Conference on Communications and Signal Processing, ICCSP 2011, Kerala, 10 February 2011 through 12 February 2011 ; 2011 , Pages 300-304 ; 9781424497980 (ISBN) Gholami, M ; Gholamidoon, M ; Hashemi, M ; Sharif University of Technology
    Abstract
    This paper presents a new architecture for a DLL based frequency synthesizer. Occupying low area, lower power consumption and phase noise are the advantages of this novel architecture. DLLs are first ordered systems, so good stability can be obtained in this design. This structure also can be used for generating fractional multiple of reference frequencies. The proposed circuit can operate at a substantially low supply voltage. A case in point, the synthesizer is adopted to create the channel frequencies of French DVB-H/T standard. The circuit is designed based on 0.13um CMOS Technology. Also power consumption trade-offs are introduced. It was shown that 27 delay cells are sufficient to... 

    A hierarchical sub-chromosome genetic algorithm (Hsc-ga) to optimize power consumption and data communications reliability in wireless sensor networks

    , Article Wireless Personal Communications ; Volume 80, Issue 4 , 2015 , Pages 1579-1605 ; 09296212 (ISSN) Hosseini, E. S ; Esmaeelzadeh, V ; Eslami, M ; Sharif University of Technology
    Abstract
    High reliability and low power consumption are among the major requirements in design of wireless sensor networks (WSNs). In this paper, a multi-objective problem is formulated as a Joint Power consumption and data Reliability (JPR) optimization problem. For this purpose, a connected dominating set (CDS)-based topology control approach is proposed. Our objective is to self-organize the network with minimum interference and power consumption. We consider the power changes into a topology with minimum CDS infrastructure subject to connectivity constraints. Since this problem is NP-hard, it cannot be dealt with using polynomial-time exact algorithms. Therefore, we first present a genetic... 

    A distributed task migration scheme for mesh-based chip-multiprocessors

    , Article Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings, 20 October 2011 through 22 October 2011 ; Oct , 2011 , Pages 24-29 ; 9780769545646 (ISBN) Yaghoubi, H ; Modarresi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    A task migration scheme for homogeneous chip multiprocessors (CMP) is presented in this paper. The proposed migration mechanism focuses on the communication sub-system and aims to reduce the total power consumption and latency of the network-on-chip (NoC). In this work, starting from an initial mapping, the tasks migrate to new cores in such a way that the distance between the end-point nodes of high-volume communication flows is reduced. Finding the new place for a task is done in a distributed manner by applying an iterative local search that relies on the local information of each task about its communication demand. The task migration procedure also includes a pre-migration step that... 

    A low power and high density cache memory based on novel SRAM cell

    , Article IEICE Electronics Express ; Volume 6, Issue 15 , 2009 , Pages 1084-1090 ; 13492543 (ISSN) Azizi Mazreah, A ; Noorollahi Romani, M ; Manzuri, M. T ; Mehrparvar, A ; Sharif University of Technology
    2009
    Abstract
    Based on the observation that dynamic occurrence of zeros in the cache access stream and cache-resident memory values of ordinary programs exhibit a strong bias towards zero, this paper presents a novel CMOS four-transistor (4T) SRAM cell for very high density and low power cache applications. This cell retains its data with leakage current and positive feedback without refresh cycle. The new cell size is 20% smaller than a conventional six-transistor cell using same design rules and delay access of a cache based on new 4T SRAM cell is 32% smaller than a cache based on 6T SRAM cell. Also the dynamic and static power consumption of new cell is 40% and 20% smaller than 6T SRAM cell,... 

    Low power receiver with merged N-path LNA and mixer for MICS applications

    , Article AEU - International Journal of Electronics and Communications ; Volume 117 , 2020 Beigi, A ; Safarian, A ; Sharif University of Technology
    Elsevier GmbH  2020
    Abstract
    In this paper, a low power receiver for medical implant communication service (MICS) is presented. Low power design is vital in the MICS applications since the implanted chip has to work for a long time without the need to change its battery. As a result, a merged N-path low noise amplifier (LNA) and mixer block is proposed. In this structure, the LNA and down-conversion mixer share a transconductance to lower the overall power consumption. An N-path feedback is utilized around the shared transconductance not only to improve the LNA selectivity and relax the linearity requirements but also to downconvert the radio frequency (RF) component and create the intermediate frequency (IF) signal. In... 

    An N-Path filter design methodology with harmonic rejection, power reduction, foldback elimination, and spectrum shaping

    , Article IEEE Transactions on Circuits and Systems I: Regular Papers ; Volume 67, Issue 12 , 2020 , Pages 4494-4506 Karami, P ; Banaeikashani, A ; Behmanesh, B ; Atarodi, S. M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    In this paper, an adaptive design methodology for synthesizing a harmonic free N-path filter with reduced frequency folding is presented. System level analysis of proposed architecture shows that by adding a few extra paths with proper weights to a conventional N-path filter, several characteristics such as harmonic rejection, power reduction, foldback elimination and spectrum shaping can be achieved. The designed filter is reconfigurable to be a band-pass filter (BPF) or a band-reject filter (notch), based on the requirements. By using the nth harmonic of Local Oscillator (LO) signal, instead of the fundamental harmonic, the required input clock frequency in N-phase clock generator is...