Loading...
Search for: farbeh--h
0.132 seconds

    RI-COTS: trading performance for reliability improvements in commercial of the shelf systems

    , Article 19th International Symposium on Computer Architecture and Digital Systems, CADS 2017, 21 December 2017 through 22 December 2017 ; Volume 2018-January , March , 2018 , Pages 1-6 ; 9781538643792 (ISBN) Ghasemi, G ; Hosseini Monazzah, A. M ; Farbeh, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    The flexibility of software-based fault tolerant approaches in providing the required level of reliability Commer-cial-Off-The Shelf (COTS) devices made them the first choice in designing safety-critical systems. In this paper, we propose a reliability improvement method for COTS-based systems, so-called, RI-COTS. The main idea behind RI-COTS is to establish a tradeoff between reliability and performance of COTS system through controlling redundant execution at instruction level. RI-COTS is implemented on LEON2 processor VHDL model. Our simulation results show that comparing with the most related studies, RI-COTS can improve the fault detection capability by 20% with only 4% performance... 

    WiP: Floating xy-yx: An efficient thermal management routing algorithm for 3d nocs

    , Article 16th IEEE International Conference on Dependable, Autonomic and Secure Computing, IEEE 16th International Conference on Pervasive Intelligence and Computing, IEEE 4th International Conference on Big Data Intelligence and Computing and IEEE 3rd Cyber Science and Technology Congress, DASC-PICom-DataCom-CyberSciTec 2018, 12 August 2018 through 15 August 2018 ; 2018 , Pages 730-735 ; 9781538675182 (ISBN) Safari, M ; Shirmohammadi, Z ; Rohbani, N ; Farbeh, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    3D Network-on-Chips (3D NoCs) have higher scalability, higher throughput, and lower power consumption over 2D NoCs. However, the reliability of data transfer in 3D NoCs is seriously threatened by thermal problems. This is due to poor heat dissipation, inappropriate traffic distribution, and cooling restriction for layers away of the chip heat-sink in 3D NoCs. To solve this problem, this paper proposes an efficient deadlock-free and traffic-And thermal-Aware routing algorithm, called Floating XY-YX. The main idea behind Floating XY-YX routing algorithm is twofold: 1) to use XY and YX routing algorithms in consecutive layers in dessicate form, and 2) to evenly load the traffic, which is... 

    REACT: Read/write error rate aware coding technique for emerging STT-MRAM caches

    , Article IEEE Transactions on Magnetics ; Volume 55, Issue 5 , 2019 ; 00189464 (ISSN) Aliagha, E ; Hosseini Monazzah, A. M ; Farbeh, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Spin-transfer torque magnetic RAMs (STT-MRAMs) are the most promising alternative for static random-access memories in large last-level on-chip caches due to their higher density and near-zero leakage power. However, the reliability of STT-MRAMs is threatened by high probability of read disturbance and write failure. Both read disturbance and write failure, which cause a soft error in the cache cells, have an asymmetric behavior. Read disturbance occurs only in STT-MRAM cells storing '1' value, and write failure error rate in a → 1 transition is much higher than that in a 1 → 0 transition. In this paper, we propose Read/write Error-rate Aware Coding Technique (REACT) to improve the... 

    LETHOR: a thermal-aware proactive routing algorithm for 3D NoCs with less entrance to hot regions

    , Article Journal of Supercomputing ; Volume 78, Issue 6 , 2022 ; 09208542 (ISSN) Safari, M ; Shirmohammadi, Z ; Rohbani, N ; Farbeh, H ; Sharif University of Technology
    Springer  2022
    Abstract
    Although many Dynamic Thermal Management (DTM) techniques are employed to overcome thermal problems in 3D NoCs, none of them consider temperature information of all nodes of a layer at the same time, so that they cannot reduce the temperature of the network properly.To overcome this problem, this paper proposes an efficient proactive thermal-aware routing algorithm, called Less Entrance to Hot Regions (LETHOR), to keep the NoC temperature lower than a predefined thermal limit. LETHOR routes the network packets based on the temperature information of all nodes in the layers instead of considering only the neighbor nodes in each hop. To this aim, LETHOR introduces a Hot Region in each layer... 

    Floating-ECC: dynamic repositioning of error correcting code bits for extending the lifetime of STT-RAM caches

    , Article IEEE Transactions on Computers ; Volume 65, Issue 12 , 2016 , Pages 3661-3675 ; 00189340 (ISSN) Farbeh, H ; Kim, H ; Miremadi, S. G ; Kim, S ; Sharif University of Technology
    IEEE Computer Society  2016
    Abstract
    Spin-Transfer Torque RAM (STT-RAM) is a promising alternative to SRAM for implementing on-chip L2 and L3 caches. One of the most critical challenges in STT-RAM is reliability due to limited write endurance, which results in insufficient lifetime, as well as various types of errors. Previous studies have focused on either presenting various cache architectures/management techniques to improve the lifetime of STT-RAM caches or utilizing different Error Correcting Codes (ECCs) to protect against the permanent and transient errors. However, there is no quantitative analysis in the literature to determine the impact of ECCs on the lifetime of the STT-RAM caches. This paper formulates this impact... 

    Sleepy-LRU: extending the lifetime of non-volatile caches by reducing activity of age bits

    , Article Journal of Supercomputing ; Volume 75, Issue 7 , 2019 , Pages 3945-3974 ; 09208542 (ISSN) Ghaemi, S. G ; Ahmadpour, I ; Ardebili, M ; Farbeh, H ; Sharif University of Technology
    Springer New York LLC  2019
    Abstract
    Emerging non-volatile memories (NVMs) are known as promising alternatives to SRAMs in on-chip caches. However, their limited write endurance is a major challenge when NVMs are employed in these highly frequently written caches. Early wear-out of NVM cells makes the lifetime of the caches extremely insufficient for nowadays computational systems. Previous studies only addressed the lifetime of data part in the cache. This paper first demonstrates that the age bits field of the cache replacement algorithm is the most frequently written part of a cache block and its lifetime is shorter than that of data part by more than 27×. Second, it investigates the effect of age bits wear-out on the cache... 

    TAMER: an adaptive task allocation method for aging reduction in multi-core embedded real-time systems

    , Article Journal of Supercomputing ; 2020 Saadatmand, F. S ; Rohbani, N ; Baharvand, F ; Farbeh, H ; Sharif University of Technology
    Springer  2020
    Abstract
    Technology scaling has exacerbated the aging impact on the performance and reliability of integrated circuits. By entering into nanotechnology era in recent years, the power density per unit of area has increased, which leads to a higher chip temperature. Aging in a chip is originated from multiple phenomena; all of them are intensified by increased temperature. Several circuit- and architecture-level schemes tried to mitigate the aging in the literature. However, these schemes are not sufficient for multi-core systems due to their unawareness of the unique constraints and features of these platforms. In this paper, we propose a system-level aging mitigation method, so-called Adaptive Task... 

    TAMER: an adaptive task allocation method for aging reduction in multi-core embedded real-time systems

    , Article Journal of Supercomputing ; Volume 77, Issue 2 , 2021 , Pages 1939-1957 ; 09208542 (ISSN) Saadatmand, F. S ; Rohbani, N ; Baharvand, F ; Farbeh, H ; Sharif University of Technology
    Springer  2021
    Abstract
    Technology scaling has exacerbated the aging impact on the performance and reliability of integrated circuits. By entering into nanotechnology era in recent years, the power density per unit of area has increased, which leads to a higher chip temperature. Aging in a chip is originated from multiple phenomena; all of them are intensified by increased temperature. Several circuit- and architecture-level schemes tried to mitigate the aging in the literature. However, these schemes are not sufficient for multi-core systems due to their unawareness of the unique constraints and features of these platforms. In this paper, we propose a system-level aging mitigation method, so-called Adaptive Task... 

    Design and Implementation of Fault-Tolerance Mechanisms for Scratch-Pad Memories (SPM) in Embedded Processors

    , M.Sc. Thesis Sharif University of Technology Farbeh, Hamed (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    Energy consumption, area, reliability and predictability are the major concerns in embedded systems. Using scratchpad memories (SPMs) instead of cache memories has an increasing role to satisfy these limitations. SPM as an on-chip SRAM memory is highly vulnerable to soft errors and as it contains the most frequently used blocks of the program, errors in SPM can easily propagate in system leading to erroneous results. This thesis proposes two approaches to protect the SPM against soft errors. The first approach, MM-SPM, proposed to protect the instruction SPM and the second approach, CR-SPM, proposed to protect dynamically mapped data and instructions to the SPM. The main idea behind the... 

    Reliability Improvement of On-chip Memories

    , Ph.D. Dissertation Sharif University of Technology Farbeh, Hamed (Author) ; Miremadi, Ghasem (Supervisor)
    Abstract
    Reliability, performance, and energy consumption are among the most important constraints that should be satisfied in modern processors design. More than 60% of the chip area is occupied by on-chip SRAM memories and they not only contribute in a large fraction of energy consumption, but also are the most error-prone components. Radiation-induced soft errors in on-chip memories are a major concern in modern processors design. Although Single Event Upsets (SEUs) have been known to be the main concern regarding SRAM memory reliability over the past decades, with the continued downscaling of technology, the occurrence rate of Multiple-Bit Upsets (MBUs) is comparable to that of SEUs in today’s... 

    Investigating the effects of process variations and system workloads on reliability of STT-RAM caches

    , Article Proceedings - 2016 12th European Dependable Computing Conference, EDCC 2016, 5 September 2016 through 9 September 2016 ; 2016 , Pages 120-129 ; 9781509015825 (ISBN) Cheshmikhani, E ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    In recent years, STT-RAMs have been proposed as a promising replacement for SRAMs in on-chip caches. Although STT-RAMs benefit from high-density, non-volatility, and low-power characteristics, high rates of read disturbances and write failures are the major reliability problems in STTRAM caches. These disturbance/failure rates are directly affected not only by workload behaviors, but also by process variations. Several studies characterized the reliability of STTRAM caches just for one cell, but vulnerability of STT-RAM caches cannot be directly derived from these models. This paper extrapolates the reliability characteristics of one STTRAM cell presented in previous studies to the... 

    A2CM2: Aging-aware cache memory management technique

    , Article CSI Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2015, 7 October 2015 through 8 October 2015 ; October , 2015 , Page(s): 1 - 8 ; 9781467380478 (ISBN) Nazari, R ; Rohbani, N ; Farbeh, H ; Shirmohammadi, Z ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Negative Bias Temperature Instability (NBTI) in CMOS devices is known as the major source of aging effect which is leading to performance and reliability degradation in modern processors. Instruction-cache (I-cache), which has a decisive role in performance and reliability of the processor, is one of the most prone modules to NBTI. Variations in duty cycle and long-time residency of data blocks in I-cache lines (stress condition) are the two major causes of NBTI acceleration. This paper proposes a novel I-cache management technique to minimize the aging effect in the I-cache SRAM cells. The proposed technique consists of a smart controller that monitors the cache lines behavior and... 

    WIPE: wearout informed pattern elimination to improve the endurance of NVM-based caches

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 16 January 2017 through 19 January 2017 ; 2017 , Pages 188-193 ; 9781509015580 (ISBN) Asadi, S ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    With the recent development in Non-Volatile Memory (NVM) technologies, several studies have suggested using them as an alternative to SRAMs in on-chip caches. However, limited endurance of NVMs is a major challenge when employed in the caches. This paper proposes a data manipulation technique, so-called Wearout Informed Pattern Elimination (WIPE), to improve the endurance of NVM-based caches by reducing the activity of frequent data patterns. Simulation results show that WIPE improves the endurance by up to 93% with negligible overheads. © 2017 IEEE  

    LATED: lifetime-aware tag for enduring design

    , Article Proceedings - 2015 11th European Dependable Computing Conference, EDCC 2015, 7 September 2015 through 11 September 2015 ; 2015 , Pages 97-107 ; 9781467392891 (ISBN) Ghaemi, S. G ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Nowadays, leakage energy constitutes up to 80% of total cache energy consumption and tag array is responsible for a considerable fraction of static energy consumption. An approach to reduce static energy consumption is to replace SRAMs by STT-RAMs with near zero leakage power. However, a problem of an STT-RAM cell is its limited write endurance. In spite of previous studies which have targeted the data array, in this study STT-RAMs are used in the L1 tag array. To solve the write endurance problem, this paper proposes an STTRAM/SRAM tag architecture. Considering the spatial locality of memory references, the lower significant bitlines of the tag update more. The SRAM part handles the updates... 

    PSP-Cache: A low-cost fault-tolerant cache memory architecture

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2014 ; ISSN: 15301591 ; ISBN: 9783981537024 Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    2014
    Abstract
    Cache memories constitute a large fraction of processor chip area and are highly vulnerable to soft errors caused by energetic particles. To protect these memories, most of the modern processors employ Error Detection Codes (EDCs) or Error Correction Codes (ECCs). EDCs/ECCs impose significant overheads in terms of area and energy; these overheads increase as a function of interleaving EDCs/ECCs to detect/correct multiple errors. This paper proposes a new cache architecture to minimize the area and energy overheads of EDCs/ECCs in set-associative L1-caches. Simulation results for a 4-way set-associative cache show that the proposed architecture reduces both the area and static power overheads... 

    A cache-assisted scratchpad memory for multiple-bit-error correction

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 11 , 2016 , Pages 3296-3309 ; 10638210 (ISSN) Farbeh, H ; Sadat Mirzadeh, N ; Farhady Ghalaty, N ; Miremadi, S. G ; Fazeli, M ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Scratchpad memory (SPM) is widely used in modern embedded processors to overcome the limitations of cache memory. The high vulnerability of SPM to soft errors, however, limits its usage in safety-critical applications. This paper proposes an efficient fault-tolerant scheme, called cache-assisted duplicated SPM (CADS), to protect SPM against soft errors. The main aim of CADS is to utilize cache memory to provide a replica for SPM lines. Using cache memory, CADS is able to guarantee a full duplication of all SPM lines. We also further enhance the proposed scheme by presenting buffered CADS (BCADS) that significantly improves the CADS energy efficiency. BCADS is compared with two well-known... 

    Memory mapped SPM: Protecting instruction scratchpad memory in embedded systems against soft errors

    , Article Proceedings - 9th European Dependable Computing Conference, EDCC 2012 ; 2012 , Pages 218-226 ; 9780769546711 (ISBN) Farbeh, H ; Fazeli, M ; Khosravi, F ; Miremadi, S. G ; Sharif University of Technology
    IEEE  2012
    Abstract
    Predictability, energy consumption, area and reliability are the major concerns in embedded systems. Using scratchpad memories (SPMs) instead of cache memories play an increasing role to satisfy these concerns. Both cache and SPM as on-chip SRAM memories are highly vulnerable to soft errors and as they contain the most frequently used blocks of the program, their errors can easily propagate in system leading to erroneous results. Unlike the instruction cache, an error in the instruction SPM cannot be corrected using only parity bits by invalidating the erroneous line. This study suggests a low-cost mechanism to protect the instruction SPM against soft errors. The main idea underlying the... 

    RAW-Tag: Replicating in altered cache ways for correcting multiple-bit errors in tag array

    , Article IEEE Transactions on Dependable and Secure Computing ; Volume 16, Issue 4 , 2019 , Pages 651-664 ; 15455971 (ISSN) Farbeh, H ; Mozafari, F ; Zabihi, M ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    Tag array in on-chip caches is one of the most vulnerable components to radiation-induced soft errors. Protecting the tag array in some processors is limited to error detection using the parity check, since the overheads of error correcting codes are not affordable in this component. State-of-The-Art tag protection schemes combine the parity check with replication to provide error correction capability. Classifying these replication-based schemes into partial-replication and full-replication, the former offers a low overhead protection in which a large fraction of detectable errors remain uncorrectable, whereas the latter imposes a significant overhead to correct all of the errors. This... 

    Reliability Improvement of STT-MRAM Memories in Data Storage Systems

    , Ph.D. Dissertation Sharif University of Technology Cheshmikhani, Elham (Author) ; Asadi, Hossein (Supervisor) ; Farbeh, Hamed (Co-Supervisor)
    Abstract
    Spin-Transfer Torque Magnetic RAM (STT-MRAM) is known as the most promising replacement for SRAM technology in cache memories. Despite its high-density, non-volatility, near-zero leakage power, and immunity to radiation-induced particle strikes as the major advantages, STT-MRAM-based cache memory suffers from high error rates mainly due to retention failure, read disturbance, and write failure. Despite its high-density, non-volatility, near-zero leakage power, and immunity to radiation as the major advantages, STT-MRAM suffers from high error rates. These errors, which are mainly retention failure, read disturbance, and write failure, are the major reliability challenge in STT-MRAM caches.... 

    A-CACHE: alternating cache allocation to conduct higher endurance in nvm-based caches

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; 2018 ; 15497747 (ISSN) Farbeh, H ; Hosseini Monazzah, A. M ; Aliagha, E ; Cheshmikhani, E ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Recent developments in Non-Volatile Memories (NVMs) have introduced them as an alternative for SRAMs in on-chip caches. Besides the promising features of NVMs, e.g., near-zero leakage power, immunity to radiation-induced particle strike, and higher density, a major drawback of NVM-based caches is their short lifetime due to limited write endurance. This paper first reveals that in L1 caches, the lifetime of data-cache (D-cache) is about 472x shorter than that of instruction-cache (I-cache) due to extreme imbalance write stress between the two. Then, we propose a technique, so-called Alternating Cache Allocation to Conduct Higher Endurance (A-CACHE), to improve the lifetime of...