Loading...
Search for:
goudarzi--s
0.11 seconds
Total 11094 records
ESL design of object-oriented applications: The ODYSSEY approach
, Article ESL Design of Object-Oriented Applications: The ODYSSEY Approach ; 2012 , Pages 1-158 ; 9781613249970 (ISBN) ; Hessabi, S ; Sharif University of Technology
Nova Science Publishers, Inc
2012
Abstract
The ODYSSEY methodology takes an unconventional approach to designing at Electronic System Level (ESL): it expands the traditional definition of the processor instruction-set and introduces a new class of processors whose instructions correspond to the methods of an object oriented class library. These new processors, called Object-Oriented Application-Specific Instruction Processor (OO-ASIP), provide a versatile vehicle to explore the synergy between hardware and software not only when implementing an OO application for the first time, but also more significantly, when expanding an existing product for additional functionality or customization. This book provides ESL researchers and...
The ODYSSEY tool-set for system-level synthesis of object-oriented models
, Article 5th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation, SAMOS 2005, Samos, 18 July 2005 through 20 July 2005 ; Volume 3553 , 2005 , Pages 394-403 ; 03029743 (ISSN) ; Hessabi, S ; Sharif University of Technology
Springer Verlag
2005
Abstract
We describe implementation of design automation tools that we have developed to automate system-level design using our ODYSSEY methodology, which advocates object-oriented (OO) modeling of the embedded system and ASIP-based implementation of it. Two flows are automated: one synthesizes an ASIP from a given C++ class library, and the other one compiles a given C++ application to run on the ASIP that corresponds to the class library used in the application. This corresponds, respectively, to hardware- and software-generation for the embedded system while hardware-software interface is also automatically synthesized. This implementation also demonstrates three other advantages: firstly, the...
Infrastructure aware heterogeneous-workloads scheduling for data center energy cost minimization
, Article IEEE Transactions on Cloud Computing ; Volume 10, Issue 2 , 2022 , Pages 972-983 ; 21687161 (ISSN) ; Taheri, S ; Goudarzi, M ; Mohammadi, S ; Sharif University of Technology
Institute of Electrical and Electronics Engineers Inc
2022
Abstract
A huge amount of energy consumption, the cost of this usage and environmental effects have become serious issues for commercial cloud providers. Solar energy is a promising clean energy source, to provide some portion of the Internet data center's (IDC's) energy usage which can reduce environmental effects and total energy costs. Moreover, due to the high energy consumption of the cooling system, considering cooling power in job scheduling can provide efficient solutions to reduce total energy consumption. In this article, we investigate the problem of minimizing the energy cost of an IDC and propose an algorithm which schedules heterogeneous IDC workloads, by considering available renewable...
An efficient synchronization circuit in multi-rate SDH networks
, Article Arabian Journal for Science and Engineering ; Volume 39, Issue 4 , April , 2014 , Pages 3101-3109 ; ISSN: 13198025 ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
2014
Abstract
Single-rate synchronous digital hierarchy (SDH) networks contain one master block and several slave blocks and the slaves will synchronize themselves by the master clock frequency. However, the clock frequencies of master and slaves are different in multi-rate SDH networks and hence, the slaves require a synchronization circuit to match their clock frequencies with the master clock frequency. This research presents an efficient synchronization circuit for such networks. The proposed circuit occupies smaller area than the prior circuit and requires no clock alignment for its implementation. The circuit constraints are described and the maximum clock frequencies of master and slaves are...
Throughput enhancement for repetitive internal cores in latency-insensitive systems
, Article IET Computers and Digital Techniques ; Volume 6, Issue 5 , 2012 , Pages 342-352 ; 17518601 (ISSN) ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
IEEE
2012
Abstract
Latency-insensitive design (LID) is a correct by-construction methodology for system on chip design that prevents multiple iterations in synchronous system design. However, one problem in the LID is system throughput reduction. In this study, a protocol is proposed to increase the throughput of internal cores in the latency-insensitive systems when there are several repetitive structures. The validation of the protocol is checked for latency equivalency in various system graphs. A shell wrapper to implement the protocol is described and superimposed logic gates for the shell wrapper are formulated. Simulation is performed for 12 randomly generated systems and four actual systems. The...
Efficient periodic clock calculus in latency-insensitive design
, Article 2011 18th IEEE International Conference on Electronics, Circuits, and Systems, ICECS 2011, 11 December 2011 through 14 December 2011 ; Dec , 2011 , Pages 546-549 ; 9781457718458 (ISBN) ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
2011
Abstract
Communication wire delay between multiple blocks is becoming a critical issue in System on Chip (SoC) design. Scheduling-based Latency-Insensitive Design (LID) is a method to alleviate wire delays by utilizing a central scheduling scheme for periodic clock gating of the blocks. The scheduling scheme resides in shift registers as sequences of '1' and '0' bits. In many systems, these sequences are too long, and have large area overhead. This problem indisposes the implementation of the scheduling based protocol. This paper proposes an algorithm that finds sequences with shorter lengths in comparison with the prior algorithm. On synthetic/random test cases, the algorithm gives 45% reduction on...
Heuristic algorithm for periodic clock optimisation in scheduling-based latency-insensitive design
, Article IET Computers and Digital Techniques ; Volume 9, Issue 3 , May , 2015 , Pages 165-174 ; 17518601 (ISSN) ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
Institution of Engineering and Technology
2015
Abstract
Delay in communication wires causes design iterations in system-on-chip. Latency-insensitive design copes with this issue by encapsulating each core in a shell wrapper and inserting buffers in the wires to separate the design of core from that of communication wires. Scheduling-based latency-insensitive protocol is a methodology which employs shift registers for periodic clock gating of blocks instead of the shell wrappers. In many cases, the bit sequences inside the shift registers are too long and therefore consume a large area. This study presents a heuristic algorithm that optimises the bit sequences and produces them with shorter lengths compared with the existing method. The algorithm...
Evaluation of distributed stream processing frameworks for IoT applications in smart cities
, Article Journal of Big Data ; Volume 6, Issue 1 , 2019 ; 21961115 (ISSN) ; Nasehi, S ; Goudarzi, M ; Sharif University of Technology
SpringerOpen
2019
Abstract
The widespread growth of Big Data and the evolution of Internet of Things (IoT) technologies enable cities to obtain valuable intelligence from a large amount of real-time produced data. In a Smart City, various IoT devices generate streams of data continuously which need to be analyzed within a short period of time; using some Big Data technique. Distributed stream processing frameworks (DSPFs) have the capacity to handle real-time data processing for Smart Cities. In this paper, we examine the applicability of employing distributed stream processing frameworks at the data processing layer of Smart City and appraising the current state of their adoption and maturity among the IoT...
Using on-chip networks to implement polymorphism in the co-design of object-oriented embedded systems
, Article Journal of Computer and System Sciences ; Volume 73, Issue 8 , December , 2007 , Pages 1221-1231 ; 00220000 (ISSN) ; Mohammadzadeh, N ; Hessabi, S ; Sharif University of Technology
2007
Abstract
The Network-on-Chip (NoC) paradigm brings networks inside chips. We use the routing capabilities inside NoC to serve as a replacement for Virtual Method Table (VMT) for Object-Oriented (OO) designed hardware/software co-design systems where some methods could be implemented as hardware modules. This eliminates VMT area and performance overhead in OO co-designed embedded systems where resources are limited and where some functionality needs to be implemented in hardware to meet performance goals of the system. Our experimental results on real world embedded applications show up to 32.15% lower area and up to 5.1% higher speed compared to traditional implementation using VMT. © 2007 Elsevier...
A reconfigurable cache architecture for object-oriented embedded systems
, Article 2006 Canadian Conference on Electrical and Computer Engineering, CCECE'06, Ottawa, ON, 7 May 2006 through 10 May 2006 ; 2006 , Pages 959-962 ; 08407789 (ISSN); 1424400384 (ISBN); 9781424400386 (ISBN) ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
Institute of Electrical and Electronics Engineers Inc
2006
Abstract
A reconfigurable cache architecture for object-oriented application-specific instruction set processors (ASIP) is presented in this paper. The embedded ASIPs we follow in this research are specifically designed to suit object-oriented applications and are synthesized form an object-oriented highlevel specification. The ASIPs are composed of a processor core along with a number of hardware functional units. In order to support concurrent execution of the functional units, we propose a cache architecture which is virtually divided into a number of partitions. The partition sizes can be dynamically changed depending on the run-time behavior of the application. Partitioning the cache not only...
Software implementation of MPEG2 decoder on an ASIP JPEG processor
, Article 17th 2005 International Conference on Microelectronics, ICM 2005, Islamabad, 13 December 2005 through 15 December 2005 ; Volume 2005 , 2005 , Pages 310-317 ; 0780392620 (ISBN); 9780780392625 (ISBN) ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
2005
Abstract
In this paper, we present an MPEG-2 video decoder implemented in our ODYSSEY design methodology. We start with an ASIP tailored to the JPEG decompression algorithm. We extend that ASIP by required software routines such that the extended ASIP can now perform MPEG2 decoding while still benefiting from hardware units common between JPEG and MPEG2. This demonstrates the ability of our approach in extending an already manufactured ASIP, which was tailored to a given application, such that it implements new, yet related applications. The implementation platform is a VirtexII-Pro FPGA. The hardware part is implemented in VHDL, and the software runs on a PowerPC processor. Experimental results show...
Object-oriented embedded system development based on synthesis and reuse of OO-ASIPs
, Article Journal of Universal Computer Science ; Volume 10, Issue 9 , 2004 , Pages 1123-1155 ; 0958695X (ISSN) ; Hessabi, S ; Mycroft, A ; Sharif University of Technology
2004
Abstract
We present an embedded-system design flow, discuss its details, and demonstrate its advantages. We adopt the object-oriented methodology for the system-level model because software dominates hardware in embedded systems and the object-oriented methodology is already established for software design and reuse. As the building-block of system implementation, we synthesise application-specific processors that are reusable, through programming, for several related applications. This addresses the high cost and risk of manufacturing specialised hardware tailored to only a single application. Both the processor and its software are generated from the model of the system by the synthesis and...
Object-aware cache: Higher hit-ratio in object-oriented ASIPs
, Article Canadian Conference on Electrical and Computer Engineering; Technology Driving Innovation, 2004, Niagara Falls, 2 May 2004 through 5 May 2004 ; Volume 2 , 2004 , Pages 0653-0656 ; 08407789 (ISSN) ; Hessabi, S ; Mycroft, A ; Sharif University of Technology
2004
Abstract
At any point in time in an object-oriented (OO) program, a class method is running whose set of unconditionally-accessed data fields can be statically determined. We propose to fetch this set prior to or during the method execution to increase the data cache hit-ratio. This requires that either the software directs the processor cache controller, or the processor is aware of the currently running class method. We follow the latter approach by extending our previous work where we introduced the object-oriented application-specific instruction processor (OO-ASIP) as a processor whose instruction-set consist of methods of a class library. Such an OO-ASIP is aware of the currently running method...
Overhead-free polymorphism in network-on-chip implementation of object-oriented models
, Article Proceedings - Design, Automation and Test in Europe Conference and Exhibition, DATE 04, Paris, 16 February 2004 through 20 February 2004 ; Volume 2 , 2004 , Pages 1380-1381 ; 0769520855 (ISBN); 9780769520858 (ISBN) ; Hessabi, S ; Mycroft, A ; Sharif University of Technology
2004
Abstract
We unify virtual-method despatch (polymorphism implementation) and network packet-routing operations; virtual-method calls correspond to network packets, and network addresses are allocated such that routing the packet corresponds to dispatching the call. As the run-time routing structure is inherent in Network-on-Chip platforms, this unification implements polymorphism/or free.1
Dynamically adaptive register file architecture for energy reduction in embedded processors
, Article Microprocessors and Microsystems ; Volume 39, Issue 2 , March , 2015 , Pages 49-63 ; 01419331 (ISSN) ; Ahmadian Khameneh, S ; Goudarzi, M ; Sharif University of Technology
Elsevier
2015
Abstract
Energy reduction in embedded processors is a must since most embedded systems run on batteries and processor energy reduction helps increase usage time before needing a recharge. Register files are among the most power consuming parts of a processor core. Register file power consumption mainly depends on its size (height as well as width), especially in newer technologies where leakage power is increasing. We provide a register file architecture that, depending on the application behavior, dynamically (i) adapts the width of individual registers, and (ii) puts partitions of temporarily unused registers into low-power mode, so as to save both static and dynamic power. We show that our scheme...
A novel key partitioning schema for efficient execution of MapReduce applications
, Article 19th International Symposium on Computer Architecture and Digital Systems, CADS 2017, 21 December 2017 through 22 December 2017 ; Volume 2018-January , March , 2018 , Pages 1-6 ; 9781538643792 (ISBN) ; Nabavinejad, S. M ; Goudarzi, M ; Sharif University of Technology
Institute of Electrical and Electronics Engineers Inc
2018
Abstract
MapReduce and its open source implementation, Hadoop, are the prevailing platforms for big data processing. MapReduce is a simple programming model for performing large computational problems in large-scale distributed systems. This model consists of two major phases: Map and Reduce. Between these two main phases, partitioner part is embedded which distributes produced keys by Map tasks among Reduce tasks. When the amount of keys and their associated values, which are called intermediate data, is huge, this part has significant impact on execution time of Reduce tasks, and consequently, completion time of jobs. In this paper, we present a network and resource aware key partitioner to...
The ODYSSEY approach to early simulation-based equivalence checking at ESL level using automatically generated executable transaction-level model
, Article Microprocessors and Microsystems ; Volume 32, Issue 7 , 2008 , Pages 364-374 ; 01419331 (ISSN) ; Hessabi, S ; MohammadZadeh, N ; Zainolabedini, N ; Sharif University of Technology
2008
Abstract
Design technology is expected to rise to electronic system-level (ESL). This necessitates new techniques and tools for synthesizing ESL designs and for verifying them before and after ESL synthesis. A promising verification strategy for future very complex designs is to initially verify the design at the highest level of abstraction, and then check the equivalence of the lower level automatically generated models against that initial golden model. We present one such approach to simulation-based functional verification implemented in our ESL design methodology called ODYSSEY. Our ESL synthesis tool generates a transaction-level model (TLM) at TLM level 2 (i.e., design with partial timing)...
Implementation of a jpeg object-oriented ASIP: A case study on a system-level design methodology
, Article 17th Great Lakes Symposium on VLSI, GLSVLSI'07, Stresa-Lago Maggiore, 11 March 2007 through 13 March 2007 ; 2007 , Pages 329-334 ; 159593605X (ISBN); 9781595936059 (ISBN) ; Najafvand, M ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
2007
Abstract
In this paper, we present a JPEG decoder implemented in our ODYSSEY design methodology. We start with an object-oriented JPEG decoder model. The total operation from modeling to implementation is done automatically by our EDA tool-set in about 10 hours. The resultant system is a JPEG decoder ASIP whose hardware part is implemented on FPGA logic blocks and software part runs on a MicroBlaze processor. This ASIP can be extended by software routines to implement the motion JPEG or MPEG2 decoding algorithms. We implemented our system on ML402 FPGA-based prototype board. Experimental results show that our ASIP implementation is comparable to other approaches while our approach enables quick and...
A framework for object-oriented embedded sysem development based on OO-ASIPS
, Article Journal of Circuits, Systems and Computers ; Volume 17, Issue 6 , 2008 , Pages 973-993 ; 02181266 (ISSN) ; Hessabi, S ; Goudarzi, M ; Malaki, M ; Sharif University of Technology
2008
Abstract
The growing complexity of today's embedded systems demands new methodologies and tools to manage the problems of analysis, design, implementation, and validation of complex-embedded systems. Focusing on this issue, this paper describes a design and implementation toolset using our ODYSSEY methodology, which advocates object-oriented (OO) modeling of embedded systems and its ASIP-based implementation. The proposed approach promotes a smooth transition from high-level object-oriented specification to the final embedded system, which is composed of hardware and software components. The transition from higher to lower abstraction levels is facilitated by the use of our GUI, which supports the...
A scheduling algorithm to maximize storm throughput in heterogeneous cluster
, Article Journal of Big Data ; Volume 10, Issue 1 , 2023 ; 21961115 (ISSN) ; Nasehi, S ; Divband, A ; Goudarzi, M ; Sharif University of Technology
Springer Science and Business Media Deutschland GmbH
2023
Abstract
In the most popular distributed stream processing frameworks (DSPFs), programs are modeled as a directed acyclic graph. Using this model, a DSPF can benefit from the parallelism capabilities of distributed clusters. Choosing a reasonable number of vertices for each operator and mapping the vertices to the appropriate processing resources significantly affect the overall system performance. Due to the simplicity of the current DSPF schedulers, these frameworks perform poorly on large-scale clusters. In this paper, we present a heterogeneity-aware scheduling algorithm that finds the proper number of the vertices of an application graph and maps them to the most suitable cluster node. We begin...