Loading...
Search for: mapping-algorithms
0.008 seconds
Total 23 records

    Application specific router architectures for NoCs: An efficiency and power consumption analysis

    , Article Proceedings - 2010 First Workshop on Hardware and Software Implementation and Control of Distributed MEMS, dMEMS 2010, 28 June 2010 through 29 June 2010, Besancon ; 2010 , Pages 86-91 ; 9780769540641 (ISBN) Najjari, N ; Sarbazi Azad, H ; Sharif University of Technology
    2010
    Abstract
    Networks on Chip1 have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of intellectual properties 2 which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores on to the tiles of a chip. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs on to the tiles of the network. Different mapping algorithms have been proposed for NoCs which allocate a set of IPs to given network topologies. In these mapping algorithms, there is a restriction which limits IPs to be... 

    Analysis of Router Architecture on Efficiency and Power Consumption of NoCs

    , M.Sc. Thesis Sharif University of Technology Najjari, Noushin (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Networks on Chip have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of IP cores (or processing elements) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores on to the tiles of chips. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs on to the tiles of the network. Different mapping algorithms have been proposed for Network on Chips which allocate a set of Intellectual Properties (IPs) to determined network topologies. In these mapping... 

    Evaluation of Base Calling Methods in Next Generation Sequencing

    , M.Sc. Thesis Sharif University of Technology Gharibi, Hadi (Author) ; Hossein Khalaj, Babak (Supervisor) ; Motahhari, Abolfazl (Supervisor)
    Abstract
    In the mid twentieth century by discovering the existence of genetic strands and understanding their role in diseases and phenotypes of species, research initiated to decipher their content. Sequencing of the first human genome at early twenty-first century paved the way to study and even cure complex human deseases having genetic origin. Next Generation Sequencing (NGS) Technologies have significantly reduced the expenses and the timing complexity of DNA Sequencing and this has an improving trend. In this thesis, we evaluate Base Calling methods, a critical step in analyzing next generation sequencing information and deals with massive sequencing data. Base Calling tries to optimally detect... 

    MapReduce Algorithm for Anonymity Problem

    , M.Sc. Thesis Sharif University of Technology Miri, Hamid (Author) ; Ghodsi, Mohammad (Supervisor)
    Abstract
    In this research, we focus on r-gather and (r; ϵ)-gather clustering. In the r-gather clustering, the input points are in metric space and must be clustered such that each cluster has at least r points and the objective is to minimize the radius of clustering. (r; ϵ)-gather clustering is a kind of r-gather clustering such that at most nϵ points can be unclustered. MapReduce model is one of the most used parallel models to process huge data and processes the input data in some machine simultaneously in parallel.In this research, we give a lower bound for the approximation factor of r-gather clustering in MapReduce model. This lower bound works in MapReduce model even an optimal algorithm... 

    Application specific router architectures for NoCs: An efficiency and power consumption analysis

    , Article Mechatronics ; Vol. 22, issue. 5 , August , 2012 , pp. 531-537 ; ISSN: 9574158 Najjari, N ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Networks on chip (NoC) have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of intellectual properties (IP) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores onto the tiles of a chip. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs onto the tiles of the network. Different mapping algorithms have been proposed for NoCs which allocate a set of IPs to given network topologies. In these mapping algorithms, there is a restriction which limits IPs... 

    FTSPM: A fault-tolerant scratchpad memory

    , Article Proceedings of the International Conference on Dependable Systems and Networks ; 2013 , Page(s): 1 - 10 ; 9781467364713 (ISBN) Monazzah, A. M. H ; Farbeh, H ; Miremadi, S. G ; Fazeli, M ; Asadi, H ; Sharif University of Technology
    2013
    Abstract
    Scratch Pad Memory (SPM) is an important part of most modern embedded processors. The use of embedded processors in safety-critical applications implies including fault tolerance in the design of SPM. This paper proposes a method, called FTSPM, which integrates a multi-priority mapping algorithm with a hybrid SPM structure. The proposed structure divides SPM into three parts: 1) a part is equipped with Non-Volatile Memory (NVM) which is immune against soft errors, 2) a part is equipped with Error-Correcting Code, and 3) a part is equipped with parity. The proposed mapping algorithm is responsible to distribute the program blocks among the above three parts with regards to their vulnerability... 

    Application specific router architectures for NoCs: An efficiency and power consumption analysis

    , Article Mechatronics ; Volume 22, Issue 5 , 2012 , Pages 531-537 ; 09574158 (ISSN) Najjari, N ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2012
    Abstract
    Networks on chip (NoC) have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of intellectual properties (IP) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores onto the tiles of a chip. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs onto the tiles of the network. Different mapping algorithms have been proposed for NoCs which allocate a set of IPs to given network topologies. In these mapping algorithms, there is a restriction which limits IPs... 

    Validity of cauchy-born hypothesis in multi-scale modeling of plastic deformations

    , Article International Journal of Solids and Structures ; 2017 ; 00207683 (ISSN) Khoei, A. R ; Jahanshahi, M ; Toloui, G ; Sharif University of Technology
    Elsevier Ltd  2017
    Abstract
    The Cauchy-Born (CB) hypothesis has been widely used in multi-scale modeling of crystalline nano-structures. The violation of CB hypothesis in stress space and the transition to plasticity, which is equivalent to the violation of CB hypothesis in strain space, are generally confused and it becomes crucial to differentiate between the two distinct phenomena; the violation of the former usually occurs at high values of stress and at regions where the surface effects are manifest while the violation of the latter occurs at low stresses when the material loses its strength to tolerate the applied loading. In this paper, a novel technique is developed to investigate the validity of CB hypothesis... 

    Validity of cauchy–born hypothesis in multi-scale modeling of plastic deformations

    , Article International Journal of Solids and Structures ; Volume 115-116 , 2017 , Pages 224-247 ; 00207683 (ISSN) Khoei, A. R ; Jahanshahi, M ; Toloui, G ; Sharif University of Technology
    Elsevier Ltd  2017
    Abstract
    The Cauchy–Born (CB) hypothesis has been widely used in multi-scale modeling of crystalline nano-structures. The violation of CB hypothesis in stress space and the transition to plasticity, which is equivalent to the violation of CB hypothesis in strain space, are generally confused and it becomes crucial to differentiate between the two distinct phenomena; the violation of the former usually occurs at high values of stress and at regions where the surface effects are manifest while the violation of the latter occurs at low stresses when the material loses its strength to tolerate the applied loading. In this paper, a novel technique is developed to investigate the validity of CB hypothesis... 

    Efficient genetic based topological mapping using analytical models for on-chip networks

    , Article Journal of Computer and System Sciences ; Volume 79, Issue 4 , 2013 , Pages 492-513 ; 00220000 (ISSN) Arjomand, M ; Amiri, S. H ; Sarbazi Azad, H ; Sharif University of Technology
    2013
    Abstract
    Network-on-Chips are now the popular communication medium to support inter-IP communications in complex on-chip systems with tens to hundreds IP cores. Higher scalability (compared to the traditional shared bus and point-to-point interconnects), throughput, and reliability are among the most important advantages of NoCs. Moreover, NoCs can well match current CAD methodologies mainly relying on modular and reusable structures with regularity of structural pattern. However, since NoCs are resource-limited, determining how to distribute application load over limited on-chip resources (e.g. switches, buffers, virtual channels, and wires) in order to improve the metrics of interest and satisfy... 

    Exploration of temperature constraints for thermal aware mapping of 3D networks on chip

    , Article Proceedings - 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2012 ; 15-17 February , 2012 , pp. 499-506 ; ISBN: 9780769546339 Hamedani, P. K ; Hessabi, S ; Sarbazi-Azad, H ; Jerger, N. E ; Sharif University of Technology
    Abstract
    This paper proposes three ILP-based static thermalaware mapping algorithms for 3D Networks on Chip (NoC) to explore the thermal constraints and their effects on temperature and performance. Through complexity analysis, we show that the first algorithm, an optimal one, is not suitable for 3D NoC. Therefore, we develop two approximation algorithms and analyze their algorithmic complexities to show their proficiency. As the simulation results show, the mapping algorithms that employ direct thermal calculation to minimize the temperature reduce the peak temperature by up to 24% and 22%, for the benchmarks that have the highest communication rate and largest number of tasks, respectively. This... 

    Multicast-aware mapping algorithm for on-chip networks

    , Article Proceedings - 19th International Euromicro Conference on Parallel, Distributed, and Network-Based Processing, PDP 2011 ; 2011 , p. 455-462 ; ISBN: 9780769543284 Habibi, A ; Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Networks-on-Chip (NoCs for short) are known as the most scalable and reliable on-chip communication architectures for multi-core SoCs with tens to hundreds IP cores. Proper mapping the IP cores on NoC tiles (or assigning threads to cores in chip multiprocessors) can reduce end-to-end delay and energy consumption. While almost all previous works on mapping consider higher priority for the application's flows with higher required bandwidth, a mapping strategy, presented in this paper, is introduced that considers multicast communication flows in addition to the normal unicast flows. To this end, multicast and unicast traffic flows are first characterized in terms of some new metrics which are... 

    A plasticity model for metals with dependency on all the stress invariants

    , Article Journal of Engineering Materials and Technology, Transactions of the ASME ; Volume 135, Issue 1 , 2013 ; 00944289 (ISSN) Voyiadjis, G. Z ; Hoseini, S. H ; Farrahi, G. H ; Sharif University of Technology
    2013
    Abstract
    Recent experiments on metals have shown that all of the stress invariants should be involved in the constitutive description of the material in plasticity. In this paper, a plasticity model for metals is defined for isotropic materials, which is a function of the first stress invariant in addition to the second and the third invariants of the deviatoric stress tensor. For this purpose, the Drucker-Prager yield criterion is extended by addition of a new term containing the second and the third deviatoric stress invariants. Furthermore for estimating the cyclic behavior, new terms are incorporated into the Chaboche's hardening evolution equation. These modifications are applied by adding new... 

    Effects of stress invariants and reverse loading on ductile fracture initiation

    , Article International Journal of Solids and Structures ; Volume 49, Issue 13 , 2012 , Pages 1541-1556 ; 00207683 (ISSN) Voyiadjis, G. Z ; Hoseini, S. H ; Farrahi, G. H ; Sharif University of Technology
    2012
    Abstract
    Recent research studies on ductile fracture of metals have shown that the ductile fracture initiation is significantly affected by the stress state. In this study, the effects of the stress invariants as well as the effect of the reverse loading on ductile fracture are considered. To estimate the reduction of load carrying capacity and ductile fracture initiation, a scalar damage expression is proposed. This scalar damage is a function of the accumulated plastic strain, the first stress invariant and the Lode angle. To incorporate the effect of the reverse loading, the accumulated plastic strain is divided into the tension and compression components and each component has a different weight... 

    Multicast-aware mapping algorithm for on-chip networks

    , Article 19th International Euromicro Conference on Parallel, Distributed, and Network-Based Processing, PDP 2011, Ayia Napa, 9 February 2011 through 11 February 2011 ; 2011 , Pages 455-462 ; 9780769543284 (ISBN) Habibi, A ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    Networks-on-Chip (NoCs for short) are known as the most scalable and reliable on-chip communication architectures for multi-core SoCs with tens to hundreds IP cores. Proper mapping the IP cores on NoC tiles (or assigning threads to cores in chip multiprocessors) can reduce end-to-end delay and energy consumption. While almost all previous works on mapping consider higher priority for the application's flows with higher required bandwidth, a mapping strategy, presented in this paper, is introduced that considers multicast communication flows in addition to the normal unicast flows. To this end, multicast and unicast traffic flows are first characterized in terms of some new metrics which are... 

    An augmented Lagrangian contact formulation for frictional discontinuities with the extended finite element method

    , Article Finite Elements in Analysis and Design ; Volume 107 , December , 2015 , Pages 28-43 ; 0168874X (ISSN) Hirmand, M ; Vahab, M ; Khoei, A. R ; Sharif University of Technology
    Elsevier  2015
    Abstract
    In this paper, an Uzawa-type augmented Lagrangian contact formulation is presented for modeling frictional discontinuities in the framework of the X-FEM technique. The kinematically nonlinear contact problem is resolved based on an active set strategy to fulfill the Kuhn-Tucker inequalities in the normal direction of contact. The Coulomb's friction rule is employed to address the stick-slip behavior on the contact interface through a return mapping algorithm in conjunction with a symmetrized (nested) augmented Lagrangian approach. A stabilization algorithm is proposed for the robust imposition of the frictional contact constraints within the proposed augmented Lagrangian framework. Several... 

    An efficient max-log MAP algorithm for VLSI implementation of turbo decoders

    , Article Proceedings - IEEE International Symposium on Circuits and Systems, 24 May 2015 through 27 May 2015 ; Volume 2015-July , 2015 , Pages 1794-1797 ; 02714310 (ISSN) ; 9781479983919 (ISBN) Ardakani, A ; Shabany, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Long term evolution (LTE)-advanced aims the peak data rates in excess of 3 Gbps for the next generation wireless communication systems. Turbo codes, the specified channel coding scheme in LTE, suffers from a low-decoding throughput due to its iterative decoding algorithm. One efficient approach to achieve a promising throughput is to use multiple Maximum a Posteriori (MAP) cores in parallel, resulting in a large area overhead, a big drawback. The scaled Max-log MAP algorithm is a common approach to implement the MAP algorithm due to its efficient architecture with its acceptable performance. Although many works have been reported to reduce the area of the MAP unit, an efficient VLSI... 

    OPTIMAS: overwrite purging through in-execution memory address snooping to improve lifetime of NVM-based scratchpad memories

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 17, Issue 3 , 2017 , Pages 481-489 ; 15304388 (ISSN) Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    SRAM-based scratchpad memories (SPMs) used in embedded systems impose high leakage power. Designing SPMs based on non-volatile memories (NVMs) were proposed as NVMs have negligible leakage power. The main problem of utilizing NVMs across the SPM is their limited number of write cycles (endurance). This problem threatens the reliability of NVM-based SPMs. To alleviate the problem of limited endurance in NVM-based SPMs, this paper proposes a method, called overwrite purging through in-execution memory address snooping (OPTIMAS). The main idea behind the proposed method is to control the lifetime of NVM-based SPMs, directly by a hardware unit, outside of the SPM mapping algorithm. This idea... 

    An efficient and low power one-lambda crosstalk avoidance code design for network on chips

    , Article Microprocessors and Microsystems ; Volume 63 , 2018 , Pages 36-45 ; 01419331 (ISSN) Shirmohammadi, Z ; Mahdavi, Z ; Sharif University of Technology
    Abstract
    Crosstalk faults occurring in wires of Networks on Chip (NoCs) can seriously threaten the reliability of data transfer. One efficient way to tackle crosstalk faults is numeral-based Crosstalk Avoidance Codes (CACs). Numeral-based CACs reduce crosstalk faults by preventing specific transition patterns to occur. One-Lambda Codes (OLCs) are the most efficient types of CACs. However, the codec of OLCs imposes overheads including power consumption, critical path and area occupation to the routers of NoCs. To find overhead-efficient OLCs, this paper proposes an Algorithm for Generating OLC Numeral systems (AGON). AGON provides a tradeoff for designers in selecting overhead-efficient OLCs. Using... 

    A formal approach for debugging arithmetic circuits

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 28, Issue 1 , 2009 , Pages 742-754 ; 02780070 (ISSN) Sarbishei, O ; Tabandeh, M ; Alizadeh, B ; Fujita, M ; Sharif University of Technology
    2009
    Abstract
    This paper presents a novel automatic debugging algorithm for a postsynthesis combinational arithmetic circuit. The approach is robust under wide varieties of arithmetic circuit architectures and design optimizations. The debugging algorithm in this paper consists of three phases of partial product initialization, XOR extraction, and carry-signalmapping. The run-time complexity of conventional carry-signal-mapping algorithms, such as the approach described by Stoffel and Kunz, is exponential. However, in the proposed algorithm, by making use of some important design issues, we categorize the extracted XORs into half/full-adders to make a very fast debugging algorithm. This approach is robust...