Loading...
Search for: voltage-scaling
0.005 seconds
Total 33 records

    An efficient DVS scheme for on-chip networks

    , Article Advances in Computers ; 2021 ; 00652458 (ISSN) Sadrosadati, M ; Mirhosseini, A ; Akbarzadeh, N ; Aghilinasab, H ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2021
    Abstract
    Network-on-Chips (NoCs) consume a significant portion of multiprocessors' total power. Dynamic Voltage Scaling (DVS) which can reduce both static and dynamic power consumption is widely applied to NoCs. However, prior DVS schemes usually impose significant performance overhead to NoCs as NoCs need to work with lower clock frequencies when the supply voltage is scaled down. In this chapter, we propose a novel DVS scheme for NoCs with no performance overhead. We reduce power consumption when there is few Virtual Channels (VCs) that have active allocation requests at each cycle compared to the total number of available VCs. To enable multiple latencies with different slack times, we propose a... 

    An efficient DVS scheme for on-chip networks

    , Article Advances in Computers ; Volume 124 , 2022 , Pages 21-43 ; 00652458 (ISSN); 9780323856881 (ISBN) Sadrosadati, M ; Mirhosseini, A ; Akbarzadeh, N ; Aghilinasab, H ; Sarbazi Azad, H ; Sharif University of Technology
    Academic Press Inc  2022
    Abstract
    Network-on-Chips (NoCs) consume a significant portion of multiprocessors' total power. Dynamic Voltage Scaling (DVS) which can reduce both static and dynamic power consumption is widely applied to NoCs. However, prior DVS schemes usually impose significant performance overhead to NoCs as NoCs need to work with lower clock frequencies when the supply voltage is scaled down. In this chapter, we propose a novel DVS scheme for NoCs with no performance overhead. We reduce power consumption when there is few Virtual Channels (VCs) that have active allocation requests at each cycle compared to the total number of available VCs. To enable multiple latencies with different slack times, we propose a... 

    ITAP: Idle-time-aware power management for GPU execution units

    , Article ACM Transactions on Architecture and Code Optimization ; Volume 16, Issue 1 , 2019 ; 15443566 (ISSN) Sadrosadati, M ; Ehsani, S. B ; Falahati, H ; Ausavarungnirun, R ; Tavakkol, A ; Abaee, M ; Orosa, L ; Wang, Y ; Sarbazi Azad, H ; Mutlu, O ; Sharif University of Technology
    Association for Computing Machinery  2019
    Abstract
    Graphics Processing Units (GPUS) are widely used as the accelerator of choice for applications with massively data-parallel tasks. However, recent studies show that GPUS suffer heavily from resource underutilization, which, combined with their large static power consumption, imposes a significant power overhead. One of the most power-hungry components of a GPU-the execution units-frequently experience idleness when (1) an underutilized warp is issued to the execution units, leading to partial lane idleness, and (2) there is no active warp to be issued for the execution due to warp stalls (e.g., waiting for memory access and synchronization). Although large in total, the idle time of... 

    Stochastic DVS-based dynamic power management for soft real-time systems

    , Article Microprocessors and Microsystems ; Volume 32, Issue 3 , 2008 , Pages 121-144 ; 01419331 (ISSN) Kargahi, M ; Movaghar, A ; Sharif University of Technology
    2008
    Abstract
    This paper introduces a stochastic dynamic power management policy for soft real-time systems. Such a system comprises a single processor with the capability of dynamic voltage scaling (DVS). The policy uses DVS to consume less power in the processor while satisfying some performance constraints. The idea is based on a Markovian model of the system, which presents an analytical technique for tuning the system parameters and evaluating the effectiveness of the policy. Real-time jobs arrive according to a Poisson process and have exponentially distributed service times and relative deadlines. The power management policy is designed to reduce the long-run power consumption of the system while... 

    Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy

    , Article 2005 International Symposium on Low Power Electronics and Design, San Diego, CA, 8 August 2005 through 10 August 2005 ; 2005 , Pages 281-286 ; 15334678 (ISSN) Ejlali, A ; Al-Hashimi, B. M ; Miremadi, S. G ; Schmitz, M. T ; Rosinger, P ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2005
    Abstract
    Concerns about the reliability of real-time embedded systems that employ dynamic voltage scaling has recently been highlighted [1,2,3], focusing on transient-fault-tolerance techniques based on time-redundancy. In this paper we analyze the usage of information redundancy in DVS-enabled systems with the aim of improving both the system tolerance to transient faults as well as the energy consumption. We demonstrate through a case study that it is possible to achieve both higher fault-tolerance and less energy using a combination of information and time redundancy when compared with using time redundancy alone. This even holds despite the impact of the information redundancy hardware overhead... 

    Leakage-aware battery lifetime analysis using the calculus of variations

    , Article IEEE Transactions on Circuits and Systems I: Regular Papers ; Volume 67, Issue 12 , June , 2020 , Pages 4829-4841 Jafari Nodoushan, M ; Safaei, B ; Ejlali, A ; Chen, J.-J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    Due to non-linear factors such as the rate capacity and the recovery effect, the shape of the battery discharge curve plays a significant role in the overall lifetime of the batteries. Accordingly, this paper proposes a simple heuristic battery-aware speed scheduling policy for periodic and non-periodic real-time tasks in Dynamic Voltage Scaling (DVS) systems with non-negligible leakage/static power. A set of comprehensive analysis has been conducted to compare the battery efficiency of the proposed policies with an optimal solution, which could be derived via the Calculus of Variations (CoV). These evaluations have taken into account both periodic and non-periodic tasks in DVS-based... 

    Reducing the Energy Consumption of Fault-Tolerant Embedded Systems Using Feedback Control Approaches

    , M.Sc. Thesis Sharif University of Technology Sharif Ahmadian, Ali (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Recently, the tradeoff between low energy consumption and high fault-tolerance has attracted a lot of attention as a key issue in the design of real-time embedded systems. Dynamic Voltage Scaling (DVS) is known as one of the most effective low energy techniques for real-time systems. Control-theoretic DVS techniques, which have been exploited recently for DVS-enabled systems, are able to adapt well to dynamic workload. In this thesis, we have combined the above two important issues i.e., the control-theoretic DVS and fault-tolerance, and investigated reducing the energy consumption of fault-tolerant hard real-time systems using feedback control theory. Our proposed feedback-based DVS method... 

    Efficient Power Management of 3D-stacked DRAMs Through Aggressive Undervolting

    , M.Sc. Thesis Sharif University of Technology Baneshi, Saeideh (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Nowadays the performance of multi-core systems is increasing; however, the limited off-chip bandwidth of DRAMs is the biggest limiting factor for keeping up this trend. 3D stacked memories are good alternatives for current memories as they utilize high-bandwidth low-power through silicon via (TSV) connections. The main problem of these structures is significant rise of temperature as heat cannot be dissipated easily from the middle layers. As a result, utilizing thermal and power-aware management techniques is one of the most critical design goals of these systems. DRAM manufacturers consider timing parameters of memory controller conservatively high to guarantee the true functionality of... 

    Power-Aware runtime scheduler for mixed-criticality systems on multicore platform

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 40, Issue 10 , 2021 , Pages 2009-2023 ; 02780070 (ISSN) Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    In modern multicore mixed-criticality (MC) systems, a rise in peak power consumption due to parallel execution of tasks with maximum frequency, specially in the overload situation, may lead to thermal issues, which may affect the reliability and timeliness of MC systems. Therefore, managing peak power consumption has become imperative in multicore MC systems. In this regard, we propose an online peak power and thermal management heuristic for multicore MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and per-cluster dynamic voltage and frequency scaling (DVFS). Specifically, our approach examines... 

    A 1-V 1-mW high-speed class AB operational amplifier for high-speed low power pipelined A/D converters using 'Slew boost' technique

    , Article 2003 International Symposium on Low Power Electronics and Design, ISLPED 2003, 25 August 2003 through 27 August 2003 ; Volume 2003-January , 2003 , Pages 340-344 ; 15334678 (ISSN); 158113682X (ISBN) Aslanzadeh, H. A ; Mehrmanesh, S ; Vahidfar, M. B ; Safarian, A. Q ; Lotfi, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2003
    Abstract
    An ultra-low-voltage low-power high-speed class-AB operational amplifier with a new structure is presented. A new technique called 'Slew Boost' is introduced to improve amplifier's large-signal settling behavior, most useful in switched-capacitor circuits such as pipelined ADCs, sigma delta modulators, etc. The proposed op-amp has been designed to be employed in the first stage of a 10 bit 150 MSamples/sec pipelined analog-to-digital converter. Simulation results of the proposed fully-differential class-AB op-amp, using 0.18 μm CMOS process models, confirm that it has an output swing of 1.5 Vp-p and consumes less than I mW from a single supply of I volt. © 2003 ACM  

    A Dynamic Slack Management Technique for Low Energy Consumption in Real-time Multi-core Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Fathi, Mohammad Hossein (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Due to the increasing development of digital systems based on limited energy sources (i.e. battery), energy efficiency has become one of the most important concerns in the design of these systems. The use of multi-core architecture is an effective solution for the problem of reducing energy consumption. Hence using it in digital systems has become more common. In addition, enabling methods for reducing energy consumption on processor, helps in making energy more efficient. DVFS and DPM are the two major methods used for reducing dynamic and static energy consumption of processors. The using of multi-core architecture due to the higher chip density, results the static and dynamic energy... 

    Discrete feedback-based dynamic voltage scaling for safety critical real-time systems

    , Article Scientia Iranica ; Volume 20, Issue 3 , 2013 , Pages 647-656 ; 10263098 (ISSN) Ahmadian, A. S ; Hosseingholi, M ; Ejlali, A ; Sharif University of Technology
    2013
    Abstract
    Recently, the tradeoff between low energy consumption and high fault-tolerance has attracted a lot of attention as a key issue in the design of real-time systems. Dynamic Voltage Scaling (DVS) is commonly employed as one of the most effective low energy techniques for real-time systems. It has been observed that the use of feedback-based methods can improve the effectiveness of DVS-enabled systems. In this paper, we have investigated reducing the energy consumption of fault-tolerant hard real-time systems using the feedback control theory. Our proposed method makes the system capable of selecting the proper frequency and voltage settings in order to reduce the energy consumption, while... 

    Feedback-based energy management in a standby-sparing scheme for hard real-time systems

    , Article Proceedings - Real-Time Systems Symposium, 29 November 2011 through 2 December 2011 ; December , 2011 , Pages 349-356 ; 10528725 (ISSN) ; 9780769545912 (ISBN) Tavana, M. K ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    2011
    Abstract
    The interaction between fault tolerance and energy consumption is an interesting avenue in the realm of designing embedded systems. In this paper, a scheme for reducing energy consumption in conventional standby-sparing systems is introduced. In the proposed method, the primary unit exploits dynamic voltage scaling (DVS) and dynamic power management (DPM) is employed for the spare unit. The framework which is used in the primary unit is composed of a feedback system to follow up workload along with a three-layer yet light-weight energy manager which guarantees hard real-time constraints of the system. Moreover, an optimal approach (but not practical) as a margin for the minimum energy... 

    A comparative study of system-level energy management methods for fault-tolerant hard real-time systems

    , Article IEEE Transactions on Computers ; Volume 60, Issue 9 , 2011 , Pages 1288-1299 ; 00189340 (ISSN) Aminzadeh, S ; Ejlali, A ; Sharif University of Technology
    2011
    Abstract
    Low energy consumption and fault tolerance are often key objectives in the design of real-time embedded systems. However, these objectives are at odds, and there is a trade-off between them. Real-time systems usually use system level energy reduction methods, i.e., dynamic voltage scaling (DVS) and dynamic power management (DPM). Also hard real-time systems often use replication to achieve fault tolerance. In this paper, we investigate the impact of system level energy reduction methods on both the reliability and energy consumption of hard real-time systems which use replication for fault tolerance. In this analysis, we have considered four various existing energy management methods: 1)... 

    An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 22 July 2015 through 24 July 2015 ; Volume 2015-September , July , 2015 , Pages 249-254 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Sadrosadati, M ; Mirhosseini, A ; Aghilinasab, H ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Network-on-Chip (NoC) is a key element in the total power consumption of a chip multiprocessor. Dynamic Voltage Scaling is a promising method for power saving in NoCs since it contributes to reduction in both static and dynamic power consumptions. In this paper, we propose a novel scheme to reduce on-chip network power consumption when the number of Virtual Channels (VCs) with active allocation requests per cycle is less than the number of total VCs. In our method, we introduce a reconfigurable arbitration logic which can be configured to have multiple latencies and hence, multiple slack times. The increased slack times are then used to reduce the supply voltage of the routers in order to... 

    DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations

    , Article 20th IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, 22 July 2015 through 24 July 2015 ; Volume 2015 , September , 2015 , Pages 225-230 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Salehi, M ; Tavana, M. K ; Rehman, S ; Kriebel, F ; Shafique, M ; Ejlali, A ; Henkel, J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Many-core processors facilitate coarse-grained reliability by exploiting available cores for redundant multithreading. However, ensuring high reliability with reduced power consumption necessitates joint considerations of variations in vulnerability, performance and power properties of software as well as the underlying hardware. In this paper, we propose a power-efficient reliability management system for many-core processors. It exploits various basic redundancy techniques (like, dual and triple modular redundancy) operating in different voltage-frequency levels, each offering distinct reliability, performance and power properties. Our system performs Dynamic Redundancy and Voltage Scaling... 

    A hardware platform for evaluating low-energy multiprocessor embedded systems based on COTS devices

    , Article IEEE Transactions on Industrial Electronics ; Volume 62, Issue 2 , 2015 , Pages 1262-1269 ; 02780046 (ISSN) Salehi, M ; Ejlali, A ; Sharif University of Technology
    Abstract
    Embedded systems are usually energy constrained. Moreover, in these systems, increased productivity and reduced time to market are essential for product success. To design complex embedded systems while reducing the development time and cost, there is a great tendency to use commercial off-the-shelf ("COTS") devices. At system level, dynamic voltage and frequency scaling (DVFS) is one of the most effective techniques for energy reduction. Nonetheless, many widely used COTS processors either do not have DVFS or apply DVFS only to processor cores. In this paper, an easy-to-implement COTS-based evaluation platform for low-energy embedded systems is presented. To achieve energy saving, DVFS is... 

    A control-theoretic energy management for fault-tolerant hard real-time systems

    , Article Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, 3 October 2010 through 6 October 2010 ; 2010 , Pages 173-178 ; 10636404 (ISSN) ; 9781424489350 (ISBN) Sharif Ahmadian, A ; Hosseingholi, M ; Ejlali, A ; Sharif University of Technology
    Abstract
    Recently, the tradeoff between low energy consumption and high fault-tolerance has attracted a lot of attention as a key issue in the design of real-time embedded systems. Dynamic Voltage Scaling (DVS) is known as one of the most effective low energy techniques for real-time systems. It has been observed that the use of control-theoretic methods can improve the effectiveness of DVS-enabled systems. In this paper, we have investigated reducing the energy consumption of fault-tolerant hard real-time systems using feedback control theory. Our proposed feedback-based DVS method makes the system capable of selecting the proper frequency and voltage settings in order to reduce the energy... 

    Two-state checkpointing for energy-efficient fault tolerance in hard real-time systems

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 7 , 2016 , Pages 2426-2437 ; 10638210 (ISSN) Salehi, M ; Khavari Tavana, M ; Rehman, S ; Shafique, M ; Ejlali, A ; Henkel, J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    Checkpointing with rollback recovery is a well-established technique to tolerate transient faults. However, it incurs significant time and energy overheads, which go wasted in fault-free execution states and may not even be feasible in hard real-time systems. This paper presents a low-overhead two-state checkpointing (TsCp) scheme for fault-tolerant hard real-time systems. It differentiates between the fault-free and faulty execution states and leverages two types of checkpoint intervals for these two different states. The first type is nonuniform intervals that are used while no fault has occurred. These intervals are determined based on postponing checkpoint insertions in fault-free... 

    BiNoCHS: bimodal network-on-chip for CPU-GPU heterogeneous systems

    , Article 2017 11th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2017, 19 October 2017 through 20 October 2017 ; 2017 ; 9781450349840 (ISBN) Mirhosseini, A ; Sadrosadati, M ; Soltani, B ; Sarbazi Azad, H ; Wenisch, T. F ; Sharif University of Technology
    Abstract
    CPU-GPU heterogeneous systems are emerging as architectures of choice for high-performance energy-efficient computing. Designing on-chip interconnects for such systems is challenging; CPUs typically benefit greatly from optimizations that reduce latency, but rarely saturate bandwidth or queueing resources. In contrast, GPUs generate intense traffic that produces local congestion, harming CPU performance. Congestion-optimized interconnects can mitigate this problem through larger virtual and physical channel resources. However, when there is little traffic, such networks become suboptimal due to higher unloaded packet latencies and critical path delays.We argue for a reconfigurable network...