Loading...

Peak-power-aware Task Replication to Manage Reliability for Multicore Embedded Systems

Yeganeh Khaksar, Amir | 2019

520 Viewed
  1. Type of Document: M.Sc. Thesis
  2. Language: Farsi
  3. Document No: 51730 (19)
  4. University: Sharif University of Technology
  5. Department: Computer Engineering
  6. Advisor(s): Ejlali, Alireza
  7. Abstract:
  8. Multicore platforms prepare a great opportunity to implement fault-tolerance techniques. Task replication is a well-established technique to obtain high reliability against transient faults. However, replicated executions can increase power consumption more than Thermal Design Power (TDP) constraint. TDP is considered as the highest sustainable power that a chip can dissipate before being forced to exploit a performance throttling mechanism, e.g. Dynamic Thermal Management (DTM). If the chip violates its TDP constraint, it automatically restarts or significantly reduces its performance to prevent a permanent damage. Therefore, due to the unwanted system restarts, DTM techniques may not be suitable for the systems that require satisfying strict timing constraints. In this thesis, before anything, we explain how fault-tolerance techniques may increase peak power consumption and consequently may result in a chip TDP violation. Then, we propose a peak-power-aware scheduler that manages peak power consumption for periodic task model on multicore embedded systems. The scheduler schedules different applications on differentt cores in a multicore platform without violating timing constraints. The proposed method desires at removing overlaps of the peak power of concurrently executing tasks to keep the power consumption below the chip TDP. In this thesis, we have proposed the P2ARM policy to schedule applications of embedded systems such that the power consumption is kept below the TDP constraint. The P2ARM policy is divided into three main parts: (i) the Reliability-Aware Lowest-Utilization (RA-LU) mapping for mapping and task replication, (ii) the Peak-Power-Aware Earliest-Deadline-First (PPA-EDF) for scheduling the periodic tasks, (iii) the Reliability- and Peak-Power-Aware Dynamic-Voltage-Frequency-Scaling (RPPA-DVFS) for reducing energy consumption. In summary, our proposed schemes try to expand the parts of tasks that consume high power over the period with the aim of keeping the total peak power below the chip TDP constraint. Our experiments show that our schemes provide up to 38.4% and on average by 25% peak power reduction compared to state-of-the-art methods
  9. Keywords:
  10. Multicore Embedded System ; Reliability ; Fault Tolerance ; Peak Power Managenment ; Thermal Design Power

 Digital Object List

 Bookmark

No TOC