Loading...
Search for: error-detection
0.014 seconds
Total 67 records

    Fault-resilient lightweight cryptographic block ciphers for secure embedded systems

    , Article IEEE Embedded Systems Letters ; Vol. 6, issue. 4 , 2014 , pp. 89-92 ; ISSN: 19430663 Mozaffari Kermani, M ; Tian, K ; Azarderakhsh, R ; Bayat Sarmadi, S ; Sharif University of Technology
    Abstract
    The development of extremely-constrained embedded systems having sensitive nodes such as RFID tags and nanosensors necessitates the use of lightweight block ciphers. Nevertheless, providing the required security properties does not guarantee their reliability and hardware assurance when the architectures are prone to natural and malicious faults. In this letter, error detection schemes for lightweight block ciphers are proposed with the case study of XTEA (eXtended TEA). Lightweight block ciphers such as XTEA, PRESENT, SIMON, and the like might be better suited for low-resource deeply-embedded systems compared to the Advanced Encryption Standard. Three different error detection approaches... 

    PSP-Cache: A low-cost fault-tolerant cache memory architecture

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2014 ; ISSN: 15301591 ; ISBN: 9783981537024 Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    Cache memories constitute a large fraction of processor chip area and are highly vulnerable to soft errors caused by energetic particles. To protect these memories, most of the modern processors employ Error Detection Codes (EDCs) or Error Correction Codes (ECCs). EDCs/ECCs impose significant overheads in terms of area and energy; these overheads increase as a function of interleaving EDCs/ECCs to detect/correct multiple errors. This paper proposes a new cache architecture to minimize the area and energy overheads of EDCs/ECCs in set-associative L1-caches. Simulation results for a 4-way set-associative cache show that the proposed architecture reduces both the area and static power overheads... 

    Reliable concurrent error detection architectures for extended euclidean-based division over (2m)

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Vol. 22, Issue. 5 , 2014 , pp. 995-1003 Mozaffari-Kermani, M ; Azarderakhsh, R ; Lee, C. Y ; Bayat-Sarmadi, S ; Sharif University of Technology
    Abstract
    The extended Euclidean algorithm (EEA) is an important scheme for performing the division operation in finite fields. Many sensitive and security-constrained applications such as those using the elliptic curve cryptography for establishing key agreement schemes, augmented encryption approaches, and digital signature algorithms utilize this operation in their structures. Although much study is performed to realize the EEA in hardware efficiently, research on its reliable implementations needs to be done to achieve fault-immune reliable structures. In this regard, this paper presents a new concurrent error detection (CED) scheme to provide reliability for the aforementioned sensitive and... 

    Efficient and concurrent reliable realization of the secure cryptographic SHA-3 algorithm

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Vol. 33, issue. 7 , July , 2014 , p. 1105-1109 ; 0278-0070 Bayat-Sarmadi, S ; Mozaffari-Kermani, M ; Reyhani-Masoleh, A ; Sharif University of Technology
    Abstract
    The secure hash algorithm (SHA)-3 has been selected in 2012 and will be used to provide security to any application which requires hashing, pseudo-random number generation, and integrity checking. This algorithm has been selected based on various benchmarks such as security, performance, and complexity. In this paper, in order to provide reliable architectures for this algorithm, an efficient concurrent error detection scheme for the selected SHA-3 algorithm, i.e., Keccak, is proposed. To the best of our knowledge, effective countermeasures for potential reliability issues in the hardware implementations of this algorithm have not been presented to date. In proposing the error detection... 

    Universal steganalysis based on local prediction error in wavelet domain

    , Article Proceedings - 7th International Conference on Intelligent Information Hiding and Multimedia Signal Processing, IIHMSP 2011 ; 2011 , Pages 165-168 ; 9780769545172 (ISBN) Shojaei Hashemi, A ; Mehdipour-Ghazi, M ; Ghaemmaghami, S ; Soltanian Zadeh, H ; IEEE; IEEE Tainan Section; Tainan Chapter of IEEE Signal Process Society; National Kaohsiung University of Applied Sciences (K.U.A.S.); Dalian University of Technology; Dalian Ocean University ; Sharif University of Technology
    Abstract
    A passive universal image steganalysis method is proposed that is shown to be of higher detection accuracy than existing truly blind steganalysis methods including Farid's and the WAM. This is achieved by improving some weaknesses of Farid's steganalysis scheme in feature extraction, that is, instead of deriving an over-determined equation system for each sub band of the wavelet decomposition, the sub bands are divided into overlapping blocks and an over-determined equation system is constructed for each block. To guarantee the existence of finite answers, the over-determined equation systems are solved in a way different from Farid's by using Moore-Penrose pseudo-inverse concept. Further... 

    Low cost concurrent error detection for on-chip memory based embedded processors

    , Article Proceedings - 2011 IFIP 9th International Conference on Embedded and Ubiquitous Computing, EUC 2011, 24 October 2011 through 26 October 2011 ; October , 2011 , Pages 114-119 ; 9780769545523 (ISBN) Khosravi, F ; Farbeh, H ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    This paper proposes an efficient concurrent error detection method using control flow checking for embedded processors. The proposed method is based on the co-operation of two hardware modules: 1) an on-chip hardware component to detect branch instructions and generate signatures for the running program, and 2) an external watchdog processor to compare runtime signatures and branch addresses with the information extracted offline. The proposed method is implemented on an embedded processor core and is evaluated by a simulation based statistical fault injection approach where faults are injected into cache and main memory. Experimental results show that the proposed method detects more than... 

    Joint multi-user interference and clipping noise cancellation in uplink MC-CDMA system

    , Article AEU - International Journal of Electronics and Communications ; Volume 64, Issue 5 , 2010 , Pages 425-432 ; 14348411 (ISSN) AliHemmati, R ; Azmi, P ; Marvasti, F ; Sharif University of Technology
    Abstract
    In this paper, an iterative method is proposed to jointly cancel multi-user interference and clipping noise in uplink Multi-Carrier Code Division Multiple Access (MC-CDMA) systems. Clipping is the simplest method to overcome high peak-to-average power ratio of multi-carrier signals but it makes the signals distorted. Reconstruction methods use non-distorted samples to reconstruct distorted samples in the receiver but multi-user interference causes the methods do not work properly because all the received samples are distorted due to clipping and interference and so there is no undistorted samples to be used in recovering clipped samples. On the other hand, multi-user interference... 

    A novel video temporal error concealment algorithm based on moment invariants

    , Article 9th Iranian Conference on Machine Vision and Image Processing, 18 November 2015 through 19 November 2015 ; Volume 2016-February , 2015 , Pages 20-23 ; 21666776 (ISSN) ; 9781467385398 (ISBN) Marvasti Zadeh, S. M ; Ghanei Yakhdan, H ; Kasaei, S ; Sharif University of Technology
    IEEE Computer Society 
    Abstract
    Nowadays, the use of multimedia services such as video sequences is constantly growing. Unfortunately, due to the lack of reliable communication channels and video data sensitivity to transmission errors, the quality of received video might decrease. Therefore, decoder error concealment methods have been developed to retrieve the damaged or lost data. In this paper, a novel temporal error concealment (TEC) algorithm based on moment invariants is presented. It includes three main stages of: designation of candidate motion vectors (MVs) set, adaptive determination of block size in the current and reference frames for feature extraction, and error function calculation based on moment... 

    An optimum pre-filter for ICA based multi-input multi-output OFDM system

    , Article International Journal of Innovative Computing, Information and Control ; Volume 7, Issue 6 , June , 2011 , Pages 3499-3508 ; 13494198 (ISSN) Khosravy, M ; Asharif, M. R ; Khosravi, M ; Yamashita, K ; Sharif University of Technology
    Abstract
    This paper presents an optimum pre-filter for ICA based multi-input multi-output OFDM system. In our former work, we presented a pre-filter solution for multiuser reconstruction in ICA based MIMO-OFDM systems [1,2]. Here, based on the structure of the system and former pre-filter solution, an optimization problem has been designed to give us the optimum pre-filter. The designed problem is based on minimization of the estimation error of ambiguities inherent to ICA in reconstruction of the data after separation. The optimization problem is mathematically solved and a set of optimized coefficients are obtained. Among the obtained set as optimum coefficients, optimum coefficients are acquired... 

    An optimum pre-filter for ICA based mulit-input multi-output OFDM system

    , Article ICETC 2010 - 2nd International Conference on Education Technology and Computer, 22 June 2010 through 24 June 2010 ; Volume 5 , 2010 , Pages V5129-V5133 ; 9781424463688 (ISBN) Khosravy, M ; Alsharif, M. R ; Khosravi, M ; Yamashita, K ; Sharif University of Technology
    Abstract
    This paper presents an Optimum pre-filter for ICA based mulit-input multi-output OFDM System. In our former work, we presented a pre-filter solution for multiuser reconstruction in ICA based MIMO-OFDM systems [1], [2]. Here, based on the structure of the system and former prefilter solution, an optimization problem has been designed to give us the optimum pre-filter. The designed problem is based on minimization of the estimation error of ambiguities inherent to ICA in reconstruction of the data after separation. The optimization problem is mathematically solved and a set of optimized coefficients are obtained. Among the obtained set as optimum coefficients, optimum coefficients are acquired... 

    Development Object Oriented Framework for Data Reconciliation of Chemical Processes

    , M.Sc. Thesis Sharif University of Technology Aghamir Mohammad Ali, Mohammad Ali (Author) ; Bozorgmehry Boozarjomehry, Ramin (Supervisor)
    Abstract
    In this study, in order to enhance data contaminated with random and gross errors, the implementation of data reconciliation technique on large-scale industrial unit was investigated. Data reconciliation results on the naphtha reformer unit, revealed that uncertainty in estimation of input and output reactor temperatures, decreased up to 2% in comparison with measured ones. In addition, uncertainties in estimation of the mass flow rates have declined by nearly 30%. Also, an object-oriented framework for plant wide data reconciliation was designed. This framework was designed as an extension of plant wide identification software developed previously. Moreover, adding five classes to the... 

    Including Facilities in an Embedded Processor for External Watchdog Processors

    , M.Sc. Thesis Sharif University of Technology Khosravi, Faramarz (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    The wide range of embedded processors and their reliance on nano-scale technologyhave brought them serious concerns on reliability, power consumption, timeliness and cost. Therefore, theseconcernsmust be addressed at the design process withemploying minimum facilities.This thesis proposes a low-cost concurrent error detection method based on control flow checking suitable for embedded processors. Most of the previous control flow checking methods either do not consider the embedded processors concerns, or they are not applicable to processors with on-chip cache memories.The key idea behind the proposed control flow checking method is to embed specific hardware components in the IP core of an... 

    Evaluating the Energy Consumption of Fault-Detection Mechanisms in Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Fakhraei, Mohammad (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Memories are one of the main component in the embedded systems, and owing to their vulnerability to error, this part of system must be fault tolerant. Single error correction (SEC) codes are one of the most commonly used methods against sot errors. However, on the other hands as the technology scales, multiple bit upsets (MBUs) are becoming more likely to occur in the memories and the SEC codes have lost their effectiveness in fault coverage. Therefore a greater attention is devoted to the codes with the higher fault coverage such as single error correction/double error detection (SEC/DED) codes. These codes increase the delay, area and power consumption overhead. These parameters are the... 

    Design and Implementation of Decoder and Encoder for Error Detecting and Correcting Algorithms for RF Links in Networks on Chip

    , M.Sc. Thesis Sharif University of Technology Sharifnia, Shahram (Author) ; Hesabi, Shaahin (Supervisor)
    Abstract
    In the upward trend of advancing technologies in chips manufacturing, utilizing Network on Chip (NOC) solutions is a sensible approach towards overcoming challenges in System on Chip (SOC). The most common form of NOC is the Wired NOC. The continuous physical size reduction of electronic circuits has led to bandwidth deficiency as well as increased temperature in various parts of these circuits. The vast advancement in chips manufacturing industry has made it possible to embed and adapt telecommunication equipment into chips, giving rise to Wireless NOC (WNOC) manufacturing. However, wireless communication increases fault rate; thereby, the system becomes more vulnerable against transient... 

    Exception Fault Localization in Smart Mobile Applications

    , M.Sc. Thesis Sharif University of Technology Mirzaei, Hamed (Author) ; Heydarnoori, Abbas (Supervisor)
    Abstract
    In software programs, most of the time, there is a chance of error, even though they are tested carefully. Finding error-related pieces of code is one of the most complicated tasks and it can make incorrect results if done manually. Semi-automated and fully-automated methods have been introduced to overcome this issue. The rapid growth of developing smart mobile applications (SMAs) in recent years, competition among the development teams and many other factors have increased the chance of errors and hence, the quality of these applications have reduced. There are two approaches to test SMAs in order to reach a high degree of quality: (1) using existing traditional methods and adapting them... 

    Error detection enhancement in COTS superscalar processors with performance monitoring features

    , Article Journal of Electronic Testing: Theory and Applications (JETTA) ; Volume 20, Issue 5 SPEC.ISS , 2004 , Pages 553-567 ; 09238174 (ISSN) Rajabzadeh, A ; Miremadi, S. G ; Mohandespour, M ; Sharif University of Technology
    2004
    Abstract
    Increasing use of commercial off-the-shelf (COTS) superscalar processors in industrial, embedded, and real-time systems necessitates the development of error detection mechanisms for such systems. This paper presents an error detection scheme called Committed Instructions Counting (CIC) to increase error detection in such systems. The scheme uses internal Performance Monitoring features and an external watchdog processor (WDP). The Performance Monitoring features enable counting the number of committed instructions in a program. The scheme is experimentally evaluated on a 32-bit Pentium® processor using software implemented fault injection (SWIFI). A total of 8181 errors were injected into... 

    Design and analysis of optimum distribution free OS-CFAR for non coherent radars

    , Article International Radar Symposium, IRS 2005, 6 September 2005 through 8 September 2005 ; Volume 2005-January , 2005 ; 21555753 (ISSN) Norouzi, Y ; Sheikhi, A ; Nayebi, M. M ; DLR; EADS; serco - bringing service to life; sms GmbH; Technische Universitat Hamburg-Harburg (TUHH) ; Sharif University of Technology
    IEEE Computer Society  2005
    Abstract
    In this paper, general form of optimum distribution free (D.F.) detector for noncoherent radars is extracted. This general form is very complex to be analyzed, therefore, we have derived two special cases and one case which is more interesting and practical is analyzed accurately. We have shown that in spite of its simple form, the detector has considerable benefits over conventional OSCFAR schemes  

    A software-based concurrent error detection technique for powerPC processor-based embedded systems

    , Article 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2005, Monterey, CA, 3 October 2005 through 5 October 2005 ; 2005 , Pages 266-274 ; 15505774 (ISSN) Fazeli, M ; Farivar, R ; Miremadi, S. G ; Aitken R ; Ito H ; Metra C ; Park N ; Sharif University of Technology
    2005
    Abstract
    This paper presents a behavior-based error detection technique called Control Flow Checking using Branch Trace Exceptions for PowerPC processors family (CFCBTE). This technique is based on the branch trace exception feature available in the PowerPC processors family for debugging purposes. This technique traces the target addresses of program branches at run-time and compares them with reference target addresses to detect possible violations caused by transient faults. The reference target addresses are derived by a preprocessor from the source program. The proposed technique is experimentally evaluated on a 32-bit PowerPC microcontroller using software implemented fault injection (SWIFI).... 

    A hardware approach to concurrent error detection capability enhancement in COTS processors

    , Article 11th Pacific Rim International Symposium on Dependable Computing, PRDC 2005, Changsha, Hunan, 12 December 2005 through 14 December 2005 ; Volume 2005 , 2005 , Pages 83-90 ; 0769524923 (ISBN); 9780769524924 (ISBN) Rajabzadeh, A ; Miremadi, S. G ; Sharif University of Technology
    2005
    Abstract
    To enhance the error detection capability in COTS (commercial off-the-shelf) -based design of safety-critical systems, a new hardware-based control flow checking (CFC) technique will be presented. This technique, Control Flow Checking by Execution Tracing (CFCET), employs the internal execution tracing features available in COTS processors and an external watchdog processor (WDP) to monitor the addresses of taken branches in a program. This is done without any modification of application programs, therefore, the program overhead is zero. The external hardware overhead is about 3.5% using an Altera Flex 10K30 FPGA. For different workload programs, the execution time overhead and the error... 

    Reliability of protecting techniques used in fault-tolerant Cache memories

    , Article Canadian Conference on Electrical and Computer Engineering 2005, Saskatoon, SK, 1 May 2005 through 4 May 2005 ; Volume 2005 , 2005 , Pages 820-823 ; 08407789 (ISSN) Miremadi, S. G ; Zarandi, H. R ; Sharif University of Technology
    2005
    Abstract
    This paper analyzes the problem of transient-error recovery of several protecting techniques used in fault-tolerant cache memories. In this paper, reliability and mean-time-to-failure (MTTF) equations for several protecting techniques are derived and estimated. The results of the considered techniques are compared with those of cache memories without redundancies and with only parity codes in both tag and data arrays of caches. Depending on the error rate under which a cache memory will operate, and the size of the cache memory, one of the analyzed cases could be used. If the transient-error rate is very small or the size of cache memory is relatively small, then a protected with only single...