Loading...
Search for: networks-on-chip--noc
0.012 seconds
Total 87 records

    An enhanced dynamic weighted incremental technique for QoS support in NoC

    , Article ACM Transactions on Parallel Computing ; Volume 7, Issue 2 , 2020 Monemi, A ; Khunjush, F ; Palesi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2020
    Abstract
    Providing Quality-of-Service (QoS) in many-core network-on-chip (NoC) platforms is critical due to the high level of resource sharing in such systems. This article presents a hard-built Equality-of-Service (EoS) and Differential-Service (DS) as subsets of QoS in NoC using weighted round-robin arbitration policy. In the proposed technique, packets can be injected with variable initial weights. An enhanced dynamic weight incremental technique is proposed that automatically increases the weights according to the contention degree that packets face along their paths. The proposed technique provides EoS for all packets that are injected with equal initial weights. Furthermore, the router's input... 

    An efficient numerical-based crosstalk avoidance codec design for NoCs

    , Article Microprocessors and Microsystems ; Volume 50 , 2017 , Pages 127-137 ; 01419331 (ISSN) Shirmohammadi, Z ; Mozafari, F ; Miremadi, S .G ; Sharif University of Technology
    Elsevier B.V  2017
    Abstract
    With technology scaling, crosstalk fault has become a serious problem in reliable data transfer through Network on Chip (NoC) channels. The effects of crosstalk fault depend on transition patterns appearing on the wires of NoC channels. Among these patterns, Triplet Opposite Direction (TOD) imposes the worst crosstalk effects. Crosstalk Avoidance Codes (CACs) are the overhead-efficient mechanisms to tackle TODs. The main problem of CACs is their high imposed overheads to NoC routers. To solve this problem, this paper proposes an overhead-efficient coding mechanism called Penultimate-Subtracted Fibonacci (PS-Fibo) to alleviate crosstalk faults in NoC wires. PS-Fibo coding mechanism benefits... 

    ACM: Accurate crosstalk modeling to predict channel delay in Network-on-Chips

    , Article 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design, IOLTS 2016, 4 July 2016 through 6 July 2016 ; 2016 , Pages 7-8 ; 9781509015061 (ISBN) Mahdavi, Z ; Shirmohammadi, Z ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    The severity of timing delay in the communication channels of Network on Chip (NoC) depends on the transition patterns appearing on the wires. An analytical model can estimate the timing delay in NoC channels in the presence of crosstalk faults. However, recently proposed analytical model does not have enough accuracy and is based on 3-wire delay model. In this paper, an Accurate Crosstalk Model (ACM) based on 5-wire delay model is proposed to estimate the delay of communication channels in the presence of crosstalk faults. ACM is more accurate due to considering more wires in the delay model and also considering the overlaps between locations of transition patterns  

    AdapNoC: A fast and flexible FPGA-based NoC simulator

    , Article 26th International Conference on Field-Programmable Logic and Applications, FPL 2016, 29 August 2016 through 2 September 2016 ; 2016 ; 9782839918442 (ISBN) Mardani Kamali, H ; Hessabi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Network on Chip (NoC) is the most common interconnection platform for multiprocessor systems-on-chips (MPSoCs). In order to explore the design space of this platform, we need a high-speed, cycle-accurate, and flexible simulation tool. In this paper, we present AdapNoC, a configurable cycle-accurate FPGA-based NoC simulator, which can be configured via software. A wide range of parameters are configurable in FPGA side of the proposed simulator, and the software side is implemented on an embedded soft-core processor. We transfer some parts of simulator, such as Traffic Generators (TGs) and Traffic Receptors (TRs), to software side without any degradation in simulation speed. Moreover, we... 

    MFLP: a low power encoding for on chip networks

    , Article Design Automation for Embedded Systems ; Volume 20, Issue 3 , 2016 , Pages 191-210 ; 09295585 (ISSN) Taassori, M ; Taassori, M ; Uysal, S ; Sharif University of Technology
    Springer New York LLC  2016
    Abstract
    Network on chip (NoC) has been proposed as an appropriate solution for today’s on-chip communication challenges. Power dissipation has become a key factor in the NoCs because of their shrinking sizes. In this paper, we propose a new encoding approach aimed at power reduction by decreasing the number of switching activities on the buses. This approach assigns the symbols to data word in such a way that the more frequent words are sent by less power consumption. This algorithm dedicates the symbols with less ones to high probability data and uses transition signaling to transmit data. The proposed method, unlike the existing low power encoding, does not rely on spatial redundancy and keeps the... 

    Low energy yet reliable data communication scheme for network-on-chip

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 34, Issue 12 , 2015 , Pages 1892-1904 ; 02780070 (ISSN) Jafarzadeh, N ; Palesi, M ; Eskandari, S ; Hessabi, S ; Afzali-Kusha, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, a low energy yet reliable communication scheme for network-on-chip is suggested. To reduce the communication energy consumption, we invoke low-swing signals for transmitting data, as well as data encoding techniques, for minimizing both self and coupling switching capacitance activity factors. To maintain the communication reliability of communication at low-voltage swing, an error control coding (ECC) technique is exploited. The decision about end-To-end or hop-To-hop ECC schemes and the proper number of detectable errors are determined through high-level mathematical analysis on the energy and reliability characteristics of the techniques. Based on the analysis, the extended... 

    An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 22 July 2015 through 24 July 2015 ; Volume 2015-September , July , 2015 , Pages 249-254 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Sadrosadati, M ; Mirhosseini, A ; Aghilinasab, H ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Network-on-Chip (NoC) is a key element in the total power consumption of a chip multiprocessor. Dynamic Voltage Scaling is a promising method for power saving in NoCs since it contributes to reduction in both static and dynamic power consumptions. In this paper, we propose a novel scheme to reduce on-chip network power consumption when the number of Virtual Channels (VCs) with active allocation requests per cycle is less than the number of total VCs. In our method, we introduce a reconfigurable arbitration logic which can be configured to have multiple latencies and hence, multiple slack times. The increased slack times are then used to reduce the supply voltage of the routers in order to... 

    OPAIC: An optimization technique to improve energy consumption and performance in application specific network on chips

    , Article Measurement: Journal of the International Measurement Confederation ; Volume 74 , 2015 , Pages 208-220 ; 02632241 (ISSN) Taassori, M ; Taassori, M ; Niroomand, S ; Vizvári, B ; Uysal, S ; Hadi Vencheh, A ; Sharif University of Technology
    Elsevier  2015
    Abstract
    Abstract Network on Chip (NoC) is an appropriate and scalable solution for today's System on Chips (SoCs) with the high communication demands. Application specific NoCs is preferable since they can be customized to optimize all requirements of the specific applications. This paper presents an OPtimization technique for Application specifIC NoCs (OPAIC), which aims not only to decrease the energy consumption but also to improve the area of NoCs. OPAIC is composed of three stages to find the optimum NoC; in the first stage, it uses a linearized form of a Quadratic Assignment Problem (QAP) to map tasks on cores to minimize the energy. In the second stage, a Mixed Integer Linear Problem (MILP)... 

    Efficient genetic based topological mapping using analytical models for on-chip networks

    , Article Journal of Computer and System Sciences ; Volume 79, Issue 4 , 2013 , Pages 492-513 ; 00220000 (ISSN) Arjomand, M ; Amiri, S. H ; Sarbazi Azad, H ; Sharif University of Technology
    2013
    Abstract
    Network-on-Chips are now the popular communication medium to support inter-IP communications in complex on-chip systems with tens to hundreds IP cores. Higher scalability (compared to the traditional shared bus and point-to-point interconnects), throughput, and reliability are among the most important advantages of NoCs. Moreover, NoCs can well match current CAD methodologies mainly relying on modular and reusable structures with regularity of structural pattern. However, since NoCs are resource-limited, determining how to distribute application load over limited on-chip resources (e.g. switches, buffers, virtual channels, and wires) in order to improve the metrics of interest and satisfy... 

    The 2D digraph-based NoCs: Attractive alternatives to the 2D mesh NoCs

    , Article Journal of Supercomputing ; Volume 59, Issue 1 , January , 2012 , Pages 1-21 ; 09208542 (ISSN) Sabbaghi Nadooshan, R ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Kluwer Academic Publishers  2012
    Abstract
    This paper proposes two-dimensional directed graphs (or digraphs for short) as a promising alternative to the popular 2D mesh topology for networks-onchip (NoCs). Mesh is the most popular topology for the NoCs, mainly due to its suitability for on-chip implementation and low cost. However, the fact that a digraph offers a lower diameter than its equivalent linear array of equal cost motivated us to evaluate digraphs as the underlying topology of NoCs. This paper introduces a family of NoC topologies based on three well-known digraphs, namely de Bruijn, shuffleexchange, and Kautz. We study topological properties of the proposed topologies. We show that the proposed digraph-based topologies... 

    Power efficient nanophotonic on-chip network for future large scale multiprocessor architectures

    , Article Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2011, 8 June 2011 through 9 June 2011, San Diego, CA ; 2011 , Pages 114-121 ; 9781457709944 (ISBN) Koohi, S ; Hessabi, S ; Sharif University of Technology
    2011
    Abstract
    This paper proposes new architectures for data and control planes in a nanophotonic networks-on-chip (NoC) with the key advantages of scalability to large scale networks, constant node degree, and simplicity. Moreover, we propose a minimal deterministic routing algorithm for the data network which leads to small and simple photonic switches. Built upon the proposed novel topology, we present a scalable all-optical NoC, referred to as 2D-HERT, which offers passive routing of optical data streams based on their wavelengths. Utilizing wavelength routing method, Wavelength Division Multiplexing (WDM) technique, and a new all-optical control architecture, our proposed optical NoC eliminates the... 

    Virtual point-to-point connections for NoCs

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 29, Issue 6 , May , 2010 , Pages 855-868 ; 02780070 (ISSN) Modarressi, M ; Tavakkol, A ; Sarbazi Azad, H ; Sharif University of Technology
    2010
    Abstract
    In this paper, we aim to improve the performance and power metrics of packet-switched network-on-chips (NoCs) and benefits from the scalability and resource utilization advantages of NoCs and superior communication performance of point-to-point dedicated links. The proposed method sets up the virtual point-to-point (VIP) connections over one virtual channel (which bypasses the entire router pipeline) at each physical channel of the NoC. We present two schemes for constructing such VIP circuits. In the first scheme, the circuits are constructed for an application based on its task-graph at design time. The second scheme addresses constructing the connections at run-time using a light-weight... 

    XYX: a power & performance efficient fault-tolerant routing algorithm for network on chip

    , Article Proceedings of the 17th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2009, 18 February 2009 through 20 February 2009, Weimar ; 2009 , Pages 245-251 ; 9780769535449 (ISBN) Patooghy, A ; Miremadi, G ; Sharif University of Technology
    2009
    Abstract
    Reliability is one of the main concerns in the design of network on chips due to the use of deep-sub micron technologies in fabrication of such products. This paper proposes a fault-tolerant routing algorithm called XYX which is based on sending redundant packets through the paths with lower traffic loads. The XYX routing algorithm makes a redundant copy of each packet at the source node and exploits two different routing algorithms to route the original and the redundant packets. Since two copies of each packet reach the destination node, the erroneous packet is detected and replaced with the correct one. Due to the use of paths with lower traffic rates for sending redundant packets and... 

    An adaptive approach to manage the number of virtual channels

    , Article 22nd International Conference on Advanced Information Networking and Applications Workshops/Symposia, AINA 2008, Gino-wan, Okinawa, 25 March 2008 through 28 March 2008 ; 2008 , Pages 353-358 ; 1550445X (ISSN) ; 0769530966 (ISBN); 9780769530963 (ISBN) Mirza Aghatabar, M ; Koohi, S ; Hessabi, S ; Rahmati, D ; Sharif University of Technology
    2008
    Abstract
    Network-on-Chip (NoC) is a precious approach to handle huge number of transistors by virtue of technology scaling to lower than 50nm. Virtual channels have been introduced in order to improve the performance according to a timing multiplexing concept in each physical channel. The incremental effect of virtual channels on power consumption has been shown in literatures. The issue of power saving has always been controversial to many designers. In this paper, we introduce a new technique which tries to adaptively mange the number of virtual channels in order to reduce the power consumption while not degrading the performance of the network without any reconfiguration. Our experimental results... 

    Virtual point-to-point links in packet-switched NoCs

    , Article IEEE Computer Society Annual Symposium on VLSI: Trends in VLSI Technology and Design, ISVLSI 2008, Montpellier, 7 April 2008 through 9 April 2008 ; 2008 , Pages 433-436 ; 9780769531700 (ISBN) Modarressi, M ; Sarbazi Azad, H ; Tavakkol, A ; Sharif University of Technology
    2008
    Abstract
    A method to setup virtual point-to-point links between the cores of a packet-switched network-on-chip is presented in this paper which aims at reducing the NoC power consumption and delay. The router architecture proposed in this paper provides packet-switching, as well as a number of virtual point-to-point, or VIP (VIrtual Point-to-point) for short, connections. This is achieved by designating one virtual channel at each physical channel of a router to bypass the router pipeline. The mapping and routing algorithm exploits these virtual channels and tries to virtually connect the source and destination nodes of high-volume communication flows during task-graph mapping and route selection... 

    A markovian performance model for networks-on-chip

    , Article Proceedings of the 16th Euromicro Conference on Parallel, Distributed and Network-Based Processing, PDP 2008, 13 February 2008 through 15 February 2008, Toulouse ; 2008 , Pages 157-164 ; 0769530893 (ISBN); 9780769530895 (ISBN) Kiasari, A. E ; Rahmati, D ; Sarbazi Azad, H ; Hessabi, S ; Sharif University of Technology
    2008
    Abstract
    Network-on-Chip (NoC) has been proposed as a solution for addressing the design challenges of future high-performance nanoscale architectures. Thus, it is of crucial importance for a designer to ha ve access to fast methods for evaluating the performance of on-chip networks. To this end, we present a Markovian model for evaluating the latency and energy consumption of on-chip networks. We compute the a verage delay due to path contention, virtual channel and crossbar switch arbitration using a queuing-based approach, which can capture the blocking phenomena of wormhole switching quite accurately. The model is then used to estimate the power consumption of all routers in NoCs. The performance... 

    A low-power and SEU-tolerant switch architecture for network on chips

    , Article 13th Pacific Rim International Symposium on Dependable Computing, PRDC 2007, Melbourne, VIC, 17 December 2007 through 19 December 2007 ; 2007 , Pages 264-267 ; 0769530540 (ISBN) ; 9780769530543 (ISBN) Patooghy, A ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    2007
    Abstract
    High reliability, high performance, low power consumption are the main objectives in the design of NoCs. These three design objectives are mostly conflicting and should be considered simultaneously in order to have an optimal design. This paper proposes a method based on duplicating the virtual channels of each NoC node as well as parity codes to prevent SEUs from producing erroneous data. The method is compared with two widely used SEU-tolerant methods i.e., the Switch to Switch and the End to End flow control methods, in terms of reliability, power consumption and performance. A flit level VHDL-based simulator and Synopsys Power Compiler tool have been used to extract experimental results.... 

    An empirical investigation of mesh and torus NoC topologies under different routing algorithms and traffic models

    , Article 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools, DSD 2007, Lubeck, 29 August 2007 through 31 August 2007 ; October , 2007 , Pages 19-26 ; 076952978X (ISBN); 9780769529783 (ISBN) Mirza Aghatabar, M ; Koohi, S ; Hessabi, S ; Pedram, M ; Sharif University of Technology
    2007
    Abstract
    NoC is an efficient on-chip communication architecture for SoC architectures. It enables integration of a large number of computational and storage blocks on a single chip. NoCs have tackled the SoCs disadvantages and are scalable. In this paper, we compare two popular NoC topologies, i.e., mesh and torus, in terms of different figures of merit e.g., latency, power consumption, and power/throughput ratio under different routing algorithms and two common traffic models, uniform and hotspot. To the best of our knowledge, this is the first effort in comparing mesh and torus topologies under different routing algorithms and traffic models with respect to their performance and power consumption.... 

    Using on-chip networks to implement polymorphism in the co-design of object-oriented embedded systems

    , Article Journal of Computer and System Sciences ; Volume 73, Issue 8 , December , 2007 , Pages 1221-1231 ; 00220000 (ISSN) Goudarzi, M ; Mohammadzadeh, N ; Hessabi, S ; Sharif University of Technology
    2007
    Abstract
    The Network-on-Chip (NoC) paradigm brings networks inside chips. We use the routing capabilities inside NoC to serve as a replacement for Virtual Method Table (VMT) for Object-Oriented (OO) designed hardware/software co-design systems where some methods could be implemented as hardware modules. This eliminates VMT area and performance overhead in OO co-designed embedded systems where resources are limited and where some functionality needs to be implemented in hardware to meet performance goals of the system. Our experimental results on real world embedded applications show up to 32.15% lower area and up to 5.1% higher speed compared to traditional implementation using VMT. © 2007 Elsevier... 

    Power consumption and performance analysis of 3D NoCs

    , Article 12th Asia-Pacific Computer Systems Architecture Conference, ACSAC 2007, Seoul, 23 August 2007 through 25 August 2007 ; Volume 4697 LNCS , 2007 , Pages 209-219 ; 03029743 (ISSN); 9783540743088 (ISBN) Sharifi, A ; Sarbazi Azad, H ; Sharif University of Technology
    Springer Verlag  2007
    Abstract
    Nowadays networks-on-chip are emerging as a hot topic in IC designs with high integration. Much research has been done in this field of study recently, e.g. in routing algorithms, switching methods, VLSI Layout, and effects of resource allocation on system performance. On the other hand, three-dimensional integrated circuits allow a time-warp for Moore's Law. By vertically stacking two or more silicon wafers, connected with a high-density, high-speed interconnect, it is now possible to combine multiple active device layers within a single IC. In this paper, we examine performance and power consumption in a three dimensional network-on-chip structure under different types of traffic loads,...