Loading...
Search for: non-volatile-memory
0.007 seconds
Total 41 records

    CoPA: Cold page awakening to overcome retention failures in STT-MRAM Based I/O Buffers

    , Article IEEE Transactions on Parallel and Distributed Systems ; Volume 33, Issue 10 , 2022 , Pages 2304-2317 ; 10459219 (ISSN) Hadizadeh, M ; Cheshmikhani, E ; Rahmanpour, M ; Mutlu, O ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Performance and reliability are two prominent factors in the design of data storage systems. To achieve higher performance, recently storage system designers use DynamicDynamic RAMRAM (DRAM)-based buffers. The volatility of DRAM brings up the possibility of data loss and data inconsistency. Thus, a part of the main storage is conventionally used as the journal area to be able of recovering unflushed data pages in the case of power failure. Moreover, periodically flushing buffered data pages to the main storage is a common mechanism to preserve a high level of reliability. This scheme, however, leads to a considerable increase in storage write traffic, which adversely affects the performance.... 

    MASTER: Reclamation of hybrid scratchpad memory to maximize energy saving in multi-core edge systems

    , Article IEEE Transactions on Sustainable Computing ; Volume 7, Issue 4 , 2022 , Pages 749-760 ; 23773782 (ISSN) Shekarisaz, M ; Hoseinghorban, A ; Bazzaz, M ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    Most modern multi-core edge devices work in outdoor situations with limited power supplies like energy harvester and batteries. Therefore, energy consumption is a fundamental issue in which the memory subsystem has a significant role. Scratchpad memories (SPM) can provide a broad potential for energy saving. Still, due to the insufficient SPM capacity in such edge devices, a rigorous SPM data allocation scheme is necessary to reduce the energy consumption of the memory subsystem. Emerging non-volatile memories (NVMs) are very useful to reduce the energy consumption of the memory subsystem. Compared with SRAM, NVMs have lower leakage power and higher density, but the read and write latencies... 

    A High Performance MRAM Cell Through Single Free-Layer Dual Fixed-Layer Magnetic Tunnel Junction

    , Article IEEE Transactions on Magnetics ; Volume 58, Issue 12 , 2022 ; 00189464 (ISSN) Alibeigi, I ; Tabandeh, M ; Shouraki, S. B ; Patooghy, A ; Rajaei, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    As technology size scales down, magnetic tunnel junctions (MTJs) as a promising technology are becoming more and more sensitive to process variation, especially in oxide barrier thickness. Process variation particularly affects the cell resistance and the critical switching current for the smaller dimensions. This article proposes an MTJ cell with one free and two pinned layers, which highly improves the process variation robustness. By employing the spin transfer torque (STT)-spin-Hall effect (SHE) switching method, our proposed MTJ cell improves the switching speed and lowers the switching power consumption. Per simulations, an MRAM cell built with the proposed MTJ cell offers up to 36%... 

    PROWL: A cache replacement policy for consistency aware renewable powered devices

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 10, Issue 1 , 2022 , Pages 476-487 ; 21686750 (ISSN) Hoseinghorban, A ; Abbasinia, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Energy harvesting systems powered by renewable energy sources employ hybrid volatile-nonvolatile memory to enhance energy efficiency and forward progress. These systems have unreliable power sources and energy buffers with limited capacity, so they complete long-running applications across multiple power outages. However, a power outage might cause data inconsistency, because the data in nonvolatile memories are persistent, while the data in volatile memories are unsteady. State of the art studies proposed various memory architectures and compiler-based techniques to tackle the data inconsistency in these systems. These approaches impose too many unnecessary check-points on the system to... 

    Fast and predictable non-volatile data memory for real-time embedded systems

    , Article IEEE Transactions on Computers ; Volume 70, Issue 3 , 2021 , Pages 359-371 ; 00189340 (ISSN) Bazzaz, M ; Hoseinghorban, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Energy consumption and predictability are two important constraints in designing real-time embedded systems and one of the recently proposed solutions for the energy consumption problem is the use of non-volatile memories instead of conventional SRAM due to their lower leakage power consumption and smaller cell area. Furthermore, because of their non-volatile nature, the use of these memories helps normally-off computing and energy harvesting systems to resume their execution without a large startup delay. However, the write access latency of non-volatile memories is considerably more than that of SRAM which can decrease the performance and predictability of the system if not managed... 

    High-Performance predictable NVM-Based instruction memory for real-time embedded systems

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 9, Issue 1 , 2021 , Pages 441-455 ; 21686750 (ISSN) Bazzaz, M ; Hoseinghorban, A ; Poursafaei, F ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Worst case execution time and energy consumption are two of the most important design constraints of real-time embedded systems and memory subsystem has a major impact on both of them. Therefore, many recent studies have tried to improve the memory subsystem of embedded systems by using emerging non-volatile memories instead of conventional memories such as SRAM and DRAM. Indeed, the low leakage power dissipation and improved density of emerging non-volatile memories make them prime candidates for replacing the conventional memories. However, accessing these memories imposes performance and energy overhead and using them as the instruction memory could increase the worst case execution time,... 

    MASTER: Reclamation of hybrid scratchpad memory to maximize energy saving in multi-core edge systems

    , Article IEEE Transactions on Sustainable Computing ; 2021 ; 23773782 (ISSN) Shekarisaz, M ; Hoseinghorban, A ; Bazzaz, M ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Most modern multi-core edge devices work in outdoor situations with limited power supplies like energy harvester and batteries. Therefore, energy consumption is a fundamental issue in which the memory subsystem has a significant role. Scratchpad memories (SPM) can provide a broad potential for energy saving. Still, due to the insufficient SPM capacity in such edge devices, a rigorous SPM data allocation scheme is necessary to reduce the energy consumption of the memory subsystem. Emerging non-volatile memories (NVMs) are very useful to reduce the energy consumption of the memory subsystem. Therefore, embedded and edge devices can take advantage of hybrid SPM composed of both NVM and SRAM to... 

    CoPA: Cold page awakening to overcome retention failures in Stt-Mram based I/O buffers

    , Article IEEE Transactions on Parallel and Distributed Systems ; 2021 ; 10459219 (ISSN) Hadizadeh, M ; Cheshmikhani, E ; Rahmanpour, M ; Mutlu, O ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Employing a small Non-Volatile Memory (NVM) as the Persistent Journal Area (PJA) along with a DRAM-based buffer is an efficient approach to overcome DRAM vulnerability, named NVB-Buffer. Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) is one of the most promising PJA candidates thanks to providing high endurance, non-volatility, and DRAM-like latency. Despite these advantages, STT-MRAM faces major reliability challenges, i.e. Retention Failure, Read Disturbance, and Write Failure, which have not been addressed in previously suggested NVB-Buffers. In this paper, we first demonstrate that the retention failure is the dominant source of errors in NVB-Buffers as it suffers from... 

    CATNAP-Sim: A comprehensive exploration and a nonvolatile processor simulator for energy harvesting systems

    , Article IEEE Design and Test ; Volume 38, Issue 2 , April , 2021 , Pages 69-77 ; 21682356 (ISSN) Hosseinghorban, A ; Abbasinia, M ; Paridari, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    This article introduces an architecture exploration tool to study and understand the tradeoffs of future processor systems using nonvolatile memory and help guide the design of the future. Energy efficiency serves as a critical factor in designing embedded systems. Furthermore, the growing dominance of energy harvesting systems (EHSs) in sensor-rich applications has eventuated an emerging trend in wearable-systems and IoT devices as an alternative to battery-powered embedded systems. In this article, researchers have presented CATNAP-Sim, a simulator for EHSs, with NVP and hybrid NVM-SRAM memory  

    Fast and predictable non-volatile data memory for real-time embedded systems

    , Article IEEE Transactions on Computers ; 2020 Bazzaz, M ; Hoseinghorban, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Energy consumption and predictability are two important constraints in designing real-time embedded systems and one of the recently proposed solutions for the energy consumption problem is the use of non-volatile memories due to their lower leakage power consumption. Furthermore, because of their non-volatile nature, the use of these memories helps normally-off computing and energy harvesting systems. However, the write access latency of non-volatile memories is considerably more than that of SRAM which can decrease the performance and predictability of the system. We present a predictable non-volatile data memory for real-time embedded systems which improves both worst-case execution time... 

    PROWL: A Cache replacement policy for consistency aware renewable powered devices

    , Article IEEE Transactions on Emerging Topics in Computing ; 2020 Hoseinghorban, A ; Abbasinia, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Energy harvesting systems powered by renewable energy sources employ hybrid volatile-nonvolatile memory to enhance energy efficiency and forward progress. These systems have unreliable power sources and energy buffers with limited capacity, so they complete long-running applications across multiple power outages. However, a power outage might cause data inconsistency, because the data in nonvolatile memories are persistent, while the data in volatile memories are unsteady. State of the art studies proposed various memory architectures and compiler-based techniques to tackle the data inconsistency in these systems. These approaches impose too many unnecessary check-points on the system to... 

    An analytical model for performance and lifetime estimation of hybrid DRAM-NVM main memories

    , Article IEEE Transactions on Computers ; Volume 68, Issue 8 , 2019 , Pages 1114-1130 ; 00189340 (ISSN) Salkhordeh, R ; Mutlu, O ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Emerging Non-Volatile Memories (NVMs) have promising advantages (e.g., lower idle power, higher density, and non-volatility) over the existing predominant main memory technology, DRAM. Yet, NVMs also have disadvantages (e.g., longer latencies, higher active power, and limited endurance). System architects are therefore examining hybrid DRAM-NVM main memories to enable the advantages of NVMs while avoiding the disadvantages as much as possible. Unfortunately, the hybrid memory design space is very large and complex due to the existence of very different types of NVMs and their rapidly-changing characteristics. Therefore, optimization of performance and lifetime of hybrid memory based... 

    Sleepy-LRU: extending the lifetime of non-volatile caches by reducing activity of age bits

    , Article Journal of Supercomputing ; Volume 75, Issue 7 , 2019 , Pages 3945-3974 ; 09208542 (ISSN) Ghaemi, S. G ; Ahmadpour, I ; Ardebili, M ; Farbeh, H ; Sharif University of Technology
    Springer New York LLC  2019
    Abstract
    Emerging non-volatile memories (NVMs) are known as promising alternatives to SRAMs in on-chip caches. However, their limited write endurance is a major challenge when NVMs are employed in these highly frequently written caches. Early wear-out of NVM cells makes the lifetime of the caches extremely insufficient for nowadays computational systems. Previous studies only addressed the lifetime of data part in the cache. This paper first demonstrates that the age bits field of the cache replacement algorithm is the most frequently written part of a cache block and its lifetime is shorter than that of data part by more than 27×. Second, it investigates the effect of age bits wear-out on the cache... 

    COACH: Consistency aware check-pointing for nonvolatile processor in energy harvesting systems

    , Article IEEE Transactions on Emerging Topics in Computing ; 2019 ; 21686750 (ISSN) Hoseinghorban, A ; Hosseini Hosseini Monazzah, A. M ; Bazzaz, M ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Recently, energy harvesting systems that utilize hybrid NVM-SRAM memory in their designs are introduced as a promising alternative for battery-operated systems. Since the ambient input power of an energy harvesting system fluctuates as the environmental conditions change, the system may stop the execution of programs until it receives enough energy to continue the execution. Resuming the execution of a program after the suspension may lead to data inconsistency in an energy harvesting system and threatens the correct functionality of the programs. In this paper, we propose COACH, an energy-efficient consistency-aware memory scheme which guarantees the correct functionality and consistency of... 

    Reducing writebacks through in-cache displacement

    , Article ACM Transactions on Design Automation of Electronic Systems ; Volume 24, Issue 2 , 2019 ; 10844309 (ISSN) Bakhshalipour, M ; Faraji, A ; Vakil Ghahani, S. A ; Samandi, F ; Lotfi Kamran, P ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2019
    Abstract
    Non-Volatile Memory (NVM) technology is a promising solution to fulfill the ever-growing need for higher capacity in the main memory of modern systems. Despite having many great features, however, NVM's poor write performance remains a severe obstacle, preventing it from being used as a DRAM alternative in the main memory. Most of the prior work targeted optimizing writes at the main memory side and neglected the decisive role of upper-level cache management policies on reducing the number of writes. In this article, we propose a novel cache management policy that attempts to maximize write-coalescing in the on-chip SRAM last-level cache (LLC) for the sake of reducing the number of costly... 

    COACH: Consistency aware check-pointing for nonvolatile processor in energy harvesting systems

    , Article IEEE Transactions on Emerging Topics in Computing ; 2019 ; 21686750 (ISSN) Hoseinghorban, A ; Hosseini Monazzah, A. M. H ; Bazzaz, M ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Recently, energy harvesting systems that utilize hybrid NVM-SRAM memory in their designs are introduced as a promising alternative for battery-operated systems. Since the ambient input power of an energy harvesting system fluctuates as the environmental conditions change, the system may stop the execution of programs until it receives enough energy to continue the execution. Resuming the execution of a program after the suspension may lead to data inconsistency in an energy harvesting system and threatens the correct functionality of the programs. In this paper, we propose COACH, an energy-efficient consistency-aware memory scheme which guarantees the correct functionality and consistency of... 

    Fast write operations in non-volatile memories using latency masking

    , Article CSI International Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2018, 9 May 2018 through 10 May 2018 ; 2018 , Pages 1-7 ; 9781538614754 (ISBN) Hoseinghorban, A ; Bazzaz, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Energy consumption is an important issue in designing embedded systems and the emerging Internet of Things (IoT). The use of non-volatile memories instead of SRAM in these systems improves their energy consumption since non-volatile memories consume much less leakage power and provide better capacity given the same die area as SRAM. However, this can impose significant performance overhead because the write operation latency of non-volatile memories is more than that of SRAM. In this paper we presented an NVM-based data memory architecture for embedded systems which improves the performance of the system at the cost of a slight energy consumption overhead. The architecture employs... 

    High-Performance predictable NVM-based instruction memory for real-time embedded systems

    , Article IEEE Transactions on Emerging Topics in Computing ; 2018 ; 21686750 (ISSN) Bazzaz, M ; Hoseinghorban, A ; Poursafaei, F ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    Worst case execution time and energy consumption are two of the most important design constraints of real-time embedded systems. Many recent studies have tried to improve the memory subsystem of embedded systems by using emerging non-volatile memories. However, accessing these memories imposes performance and energy overhead and using them as the code memory could increase the worst case execution time of the system. In this paper, a new code memory architecture for non-volatile memories is proposed which reduces the effective memory access latency by employing memory access interleaving technique. Unlike common instruction access latency improvement techniques such as prefetching and... 

    A resistive ram-based FPGA architecture equipped with efficient programming circuitry

    , Article IEEE Transactions on Circuits and Systems I: Regular Papers ; Volume 65, Issue 7 , 2018 , Pages 2196-2209 ; 15498328 (ISSN) Khaleghi, B ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Despite the considerable effort has been put on the application of Non-Volatile Memories (NVMs) in Field-Programmable Gate Arrays FPGAs, previously suggested designs are not mature enough to substitute the state of-the-art SRAM-based counterparts mainly due to the inefficient building blocks and/or the overhead of programming structure which can impair their potential benefits. In this paper, we present a Resistive Random Access Memory RRAM-based FPGA architecture employing efficient Switch Box (SB) and Look-Up Table (LUT) designs with programming circuitry integrated in both SB and LUT designs that creates area and power efficient programmable components while precluding performance... 

    AdAM: adaptive approximation management for the non-volatile memory hierarchies

    , Article 018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018; International Congress Center DresdenDresden ; Volume 2018-January , April , 2018 , Pages 785-790 ; 9783981926316 (ISBN) Teimoori, M. T ; Hanif, M. A ; Ejlali, A ; Shafique, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Existing memory approximation techniques focus on employing approximations at an individual level of the memory hierarchy (e.g., cache, scratchpad, or main memory). However, to exploit the full potential of approximations, there is a need to manage different approximation knobs across the complete memory hierarchy. Towards this, we model a system including STT-RAM scratchpad and PCM main memory with different approximation knobs (e.g., read/write pulse magnitude/duration) in order to synergistically trade data accuracy for both STT-RAM access delay and PCM lifetime by means of an integer linear programming (ILP) problem at design-time. Furthermore, a runtime algorithm is proposed to...