Loading...
Search for: non-volatile-memory
0.007 seconds
Total 41 records

    Performance and Power-Efficient Design of Non-Volatile Shared Caches in Multi-Core Systems

    , M.Sc. Thesis Sharif University of Technology Shafahi, Mohammad Hassan (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Emerging memory technologies such as STT-RAM, PCM and resistive RAM are probable technologies for caches and main memories of the future multi-core architectures. This is because of their high density, low leakage current and non-volatility. Nevertheless, the overhead of latency and energy consumption of write operation in these technologies are the main open problems. Previous works have suggested various solutions, in architecture and circuit levels, to reduce the writing overheads. In this research, we study the integration of STT-RAM in 3-dimensional multi-core environments; and propose solutions to address the problem of writing overheads when using this technology in cache... 

    Design and Evaluation of an Efficient Cache Memory Used in Solid-State Disk Drives

    , M.Sc. Thesis Sharif University of Technology Haghdoost, Alireza (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    In the past two decades, there has been a significant performance enhancement in processors by leveraging nano-scale semiconductor technologies and micro-architectural techniques. At the same time, there has been a limited performance improvement in storage devices. This performance gap results in a performance bottleneck in computer systems. To fill this gap, Solid-State Disks (SSDs) has been proposed in the previous work. Due to not using mechanical parts, SSDs can provide higher performance and lower power consumption compared to hard disk drives. Typically, SSDs use flash memory chips to store user data. Flash memory has some shortcomings such as limited endurance and low write... 

    Wear-Leveling for NVM in Real-Time Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Vaez, Narges (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Embedded systems play an important role in many applications in various areas of human life. A large group of these systems are portable devices that have limited energy budget and therefore require considering the energy consumption in their design. Today, memories are responsible for a considerable portion of energy consumption in embedded systems, mainly because of their static leakage power consumption. Memories used in embedded systems are usually based on either SRAM (mostly used on-chip as cache or scratchpad memory) or DRAM (mostly used off-chip as main memory). The high leakage power of these memories (especially SRAM) is not negligible and hence has persuaded researchers to find... 

    Application of Non-Volatile Memory Technogoies in Memory Hierarchy of CMPs

    , M.Sc. Thesis Sharif University of Technology Jadidi, Amin (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    A High-Performance and Power-Efficient Design of Memory Hierarchy in Multi-Core Systems Using Non-Volatile Technologies

    , Ph.D. Dissertation Sharif University of Technology Arjomand, Mohammad (Author) ; Sarbazi-Azad, Hamid (Supervisor)
    Abstract
    Ever increasing number of on-chip processors coupled with the trend towards rising memory footprints of the programs increases the demand for larger cache and main memory to hide the long latency of disk system. During the last three decades, SRAM- and DRAM-based memory successfully kept pace with this capacity demand by exponential reduction in cost per bit. Feedbacks from industry also confirms that entering sub-20nm technology era with dominant role of leakage power, however, SRAM and DRAM memories are confronting serious scalability and power limitations. To this end, researchers always pursuit some circuit-level and architectural proposals for incorporating non-volatile technologies in... 

    A Reconfigurable Architecture Using Non-voltatile Memories

    , M.Sc. Thesis Sharif University of Technology Ahari, Ali (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    In recent years, emerging Non-Volatile Memories (NVMs) have become promising alternatives for existing memory technologies. Due to shortcomings of SRAM memory in nanometer era,NVMs such as Phase-Change Memory (PCM) can be used in configuration memories of Field-Programmable Gate Arrays (FPGAs). Despite prominent features of emerging NVMs, they suffer from high write-power, high write-latency, and limited number of reliable write opera-tions. In addition, a dedicated Peripheral Circuit (PC) which is required to convert the NVM state to the equivalent voltage level can impose significant area and power overheads to FPGAs.In this thesis, a reliable power-efficient hybrid architecture employing... 

    WIPE: wearout informed pattern elimination to improve the endurance of NVM-based caches

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 16 January 2017 through 19 January 2017 ; 2017 , Pages 188-193 ; 9781509015580 (ISBN) Asadi, S ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    With the recent development in Non-Volatile Memory (NVM) technologies, several studies have suggested using them as an alternative to SRAMs in on-chip caches. However, limited endurance of NVMs is a major challenge when employed in the caches. This paper proposes a data manipulation technique, so-called Wearout Informed Pattern Elimination (WIPE), to improve the endurance of NVM-based caches by reducing the activity of frequent data patterns. Simulation results show that WIPE improves the endurance by up to 93% with negligible overheads. © 2017 IEEE  

    Two-state checkpointing for energy-efficient fault tolerance in hard real-time systems

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 7 , 2016 , Pages 2426-2437 ; 10638210 (ISSN) Salehi, M ; Khavari Tavana, M ; Rehman, S ; Shafique, M ; Ejlali, A ; Henkel, J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    Checkpointing with rollback recovery is a well-established technique to tolerate transient faults. However, it incurs significant time and energy overheads, which go wasted in fault-free execution states and may not even be feasible in hard real-time systems. This paper presents a low-overhead two-state checkpointing (TsCp) scheme for fault-tolerant hard real-time systems. It differentiates between the fault-free and faulty execution states and leverages two types of checkpoint intervals for these two different states. The first type is nonuniform intervals that are used while no fault has occurred. These intervals are determined based on postponing checkpoint insertions in fault-free... 

    Sleepy-LRU: extending the lifetime of non-volatile caches by reducing activity of age bits

    , Article Journal of Supercomputing ; Volume 75, Issue 7 , 2019 , Pages 3945-3974 ; 09208542 (ISSN) Ghaemi, S. G ; Ahmadpour, I ; Ardebili, M ; Farbeh, H ; Sharif University of Technology
    Springer New York LLC  2019
    Abstract
    Emerging non-volatile memories (NVMs) are known as promising alternatives to SRAMs in on-chip caches. However, their limited write endurance is a major challenge when NVMs are employed in these highly frequently written caches. Early wear-out of NVM cells makes the lifetime of the caches extremely insufficient for nowadays computational systems. Previous studies only addressed the lifetime of data part in the cache. This paper first demonstrates that the age bits field of the cache replacement algorithm is the most frequently written part of a cache block and its lifetime is shorter than that of data part by more than 27×. Second, it investigates the effect of age bits wear-out on the cache... 

    Simulation of memristor crossbar structure on GPU platform

    , Article ICEE 2012 - 20th Iranian Conference on Electrical Engineering, 15 May 2012 through 17 May 2012 ; May , 2012 , Pages 178-183 ; 9781467311489 (ISBN) Bavandpour, M ; Shouraki, S. B ; Soleimani, H ; Ahmadi, A ; Makhlooghpour, A. A ; Sharif University of Technology
    2012
    Abstract
    Memristive devices have gained significant research attention lately because of their unique properties and wide application spectrum. In particular, memristor-based resistive random access memory (RRAM) offers the high density, low power, and low volatility required for next-generation nonvolatile memory. Nowadays, despite significant advances in hardware technology, in the case of massively parallel systems still new computational architectures are required. Simulation of large quantity of memristors in the crossbar structure is a known challenge encountering these barriers. Using graphic processing units (GPU) as a low-cost and high-performance computing platform is an efficient preferred... 

    Sequoia: A high-endurance NVM-Based cache architecture

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 3 , 2016 , Pages 954-967 ; 10638210 (ISSN) Jokar, M. R ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Emerging nonvolatile memory technologies, such as spin-transfer torque RAM or resistive RAM, can increase the capacity of the last-level cache (LLC) in a latency and power-efficient manner. These technologies endure 109 - 1012 writes per cell, making a nonvolatile cache (NV-cache) with a lifetime of dozens of years under ideal working conditions. However, nonuniformity in writes to different cache lines considerably reduces the NV-cache lifetime to a few months. Writes to cache lines can be made uniformly by wear-leveling. A suitable wear-leveling for NV-cache should not incur high storage and performance overheads. We propose a novel, simple, and effective wear-leveling technique with... 

    Reducing writebacks through in-cache displacement

    , Article ACM Transactions on Design Automation of Electronic Systems ; Volume 24, Issue 2 , 2019 ; 10844309 (ISSN) Bakhshalipour, M ; Faraji, A ; Vakil Ghahani, S. A ; Samandi, F ; Lotfi Kamran, P ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2019
    Abstract
    Non-Volatile Memory (NVM) technology is a promising solution to fulfill the ever-growing need for higher capacity in the main memory of modern systems. Despite having many great features, however, NVM's poor write performance remains a severe obstacle, preventing it from being used as a DRAM alternative in the main memory. Most of the prior work targeted optimizing writes at the main memory side and neglected the decisive role of upper-level cache management policies on reducing the number of writes. In this article, we propose a novel cache management policy that attempts to maximize write-coalescing in the on-chip SRAM last-level cache (LLC) for the sake of reducing the number of costly... 

    PUF-based solutions for secure communications in advanced metering infrastructure (AMI)

    , Article International Journal of Communication Systems ; Volume 30, Issue 9 , 2017 ; 10745351 (ISSN) Delavar, M ; Mirzakuchaki, S ; Ameri, M. H ; Mohajeri, J ; Sharif University of Technology
    John Wiley and Sons Ltd  2017
    Abstract
    Advanced metering infrastructure (AMI) provides 2-way communications between the utility and the smart meters. Developing authenticated key exchange (AKE) and broadcast authentication (BA) protocols is essential to provide secure communications in AMI. The security of all existing cryptographic protocols is based on the assumption that secret information is stored in the nonvolatile memories. In the AMI, the attackers can obtain some or all of the stored secret information from memories by a great variety of inexpensive and fast side-channel attacks. Thus, all existing AKE and BA protocols are no longer secure. In this paper, we investigate how to develop secure AKE and BA protocols in the... 

    PROWL: A Cache replacement policy for consistency aware renewable powered devices

    , Article IEEE Transactions on Emerging Topics in Computing ; 2020 Hoseinghorban, A ; Abbasinia, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Energy harvesting systems powered by renewable energy sources employ hybrid volatile-nonvolatile memory to enhance energy efficiency and forward progress. These systems have unreliable power sources and energy buffers with limited capacity, so they complete long-running applications across multiple power outages. However, a power outage might cause data inconsistency, because the data in nonvolatile memories are persistent, while the data in volatile memories are unsteady. State of the art studies proposed various memory architectures and compiler-based techniques to tackle the data inconsistency in these systems. These approaches impose too many unnecessary check-points on the system to... 

    PROWL: A cache replacement policy for consistency aware renewable powered devices

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 10, Issue 1 , 2022 , Pages 476-487 ; 21686750 (ISSN) Hoseinghorban, A ; Abbasinia, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Energy harvesting systems powered by renewable energy sources employ hybrid volatile-nonvolatile memory to enhance energy efficiency and forward progress. These systems have unreliable power sources and energy buffers with limited capacity, so they complete long-running applications across multiple power outages. However, a power outage might cause data inconsistency, because the data in nonvolatile memories are persistent, while the data in volatile memories are unsteady. State of the art studies proposed various memory architectures and compiler-based techniques to tackle the data inconsistency in these systems. These approaches impose too many unnecessary check-points on the system to... 

    OPTIMAS: overwrite purging through in-execution memory address snooping to improve lifetime of NVM-based scratchpad memories

    , Article IEEE Transactions on Device and Materials Reliability ; Volume 17, Issue 3 , 2017 , Pages 481-489 ; 15304388 (ISSN) Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    SRAM-based scratchpad memories (SPMs) used in embedded systems impose high leakage power. Designing SPMs based on non-volatile memories (NVMs) were proposed as NVMs have negligible leakage power. The main problem of utilizing NVMs across the SPM is their limited number of write cycles (endurance). This problem threatens the reliability of NVM-based SPMs. To alleviate the problem of limited endurance in NVM-based SPMs, this paper proposes a method, called overwrite purging through in-execution memory address snooping (OPTIMAS). The main idea behind the proposed method is to control the lifetime of NVM-based SPMs, directly by a hardware unit, outside of the SPM mapping algorithm. This idea... 

    NPAM: NVM-aware page allocation for multi-core embedded systems

    , Article IEEE Transactions on Computers ; Volume 66, Issue 10 , 2017 , Pages 1703-1716 ; 00189340 (ISSN) Poursafaei, F. R ; Bazzaz, M ; Ejlali, A ; Sharif University of Technology
    Abstract
    Energy consumption is one of the prominent design constraints of multi-core embedded systems. Since the memory subsystem is responsible for a considerable portion of energy consumption of embedded systems, Non-Volatile Memories (NVMs) have been proposed as a candidate for replacing conventional memories such as SRAM and DRAM. The advantages of NVMs compared to conventional memories are that they consume less leakage power and provide higher density. However, these memories suffer from increased overhead of write operations and limited lifetime. In order to address these issues, researchers have proposed NVM-aware memory management techniques that consider the characteristics of the memories... 

    MASTER: Reclamation of hybrid scratchpad memory to maximize energy saving in multi-core edge systems

    , Article IEEE Transactions on Sustainable Computing ; 2021 ; 23773782 (ISSN) Shekarisaz, M ; Hoseinghorban, A ; Bazzaz, M ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Most modern multi-core edge devices work in outdoor situations with limited power supplies like energy harvester and batteries. Therefore, energy consumption is a fundamental issue in which the memory subsystem has a significant role. Scratchpad memories (SPM) can provide a broad potential for energy saving. Still, due to the insufficient SPM capacity in such edge devices, a rigorous SPM data allocation scheme is necessary to reduce the energy consumption of the memory subsystem. Emerging non-volatile memories (NVMs) are very useful to reduce the energy consumption of the memory subsystem. Therefore, embedded and edge devices can take advantage of hybrid SPM composed of both NVM and SRAM to... 

    MASTER: Reclamation of hybrid scratchpad memory to maximize energy saving in multi-core edge systems

    , Article IEEE Transactions on Sustainable Computing ; Volume 7, Issue 4 , 2022 , Pages 749-760 ; 23773782 (ISSN) Shekarisaz, M ; Hoseinghorban, A ; Bazzaz, M ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    Most modern multi-core edge devices work in outdoor situations with limited power supplies like energy harvester and batteries. Therefore, energy consumption is a fundamental issue in which the memory subsystem has a significant role. Scratchpad memories (SPM) can provide a broad potential for energy saving. Still, due to the insufficient SPM capacity in such edge devices, a rigorous SPM data allocation scheme is necessary to reduce the energy consumption of the memory subsystem. Emerging non-volatile memories (NVMs) are very useful to reduce the energy consumption of the memory subsystem. Compared with SRAM, NVMs have lower leakage power and higher density, but the read and write latencies... 

    Investigating the effects of process variations and system workloads on endurance of non-volatile caches

    , Article 13th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2017, 23 October 2017 through 25 October 2017 ; Volume 2018-January , 2018 , Pages 1-6 ; 9781538603628 (ISBN) Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Cadence; IEEE; IEEE Computer Society; IEEE Fault-Tolerant Computing Technical Committee; IEEE Test Technology Technical Council (TTTC) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    With the development of Non-Volatile Memory (NVM) technologies in recent years, several studies suggest using them as an alternative for SRAMs in on-chip caches. One of the main challenges in replacing SRAMs with NVMs is limited endurance of NVMs (i.e. the maximum allowed number of write operations in an NVM cell). The endurance of NVM caches is directly affected not only by workload behaviors, but also by process variations (PVs). Several studies characterized the endurance of NVM caches but they do not consider the simultaneous effects of the PVs and the workloads. In this paper, we propose a high-level framework to investigate the endurance of NVM caches affected by the per-cell endurance...