Loading...
Search for: ejlali--a
0.121 seconds

    Fast and predictable non-volatile data memory for real-time embedded systems

    , Article IEEE Transactions on Computers ; 2020 Bazzaz, M ; Hoseinghorban, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Energy consumption and predictability are two important constraints in designing real-time embedded systems and one of the recently proposed solutions for the energy consumption problem is the use of non-volatile memories due to their lower leakage power consumption. Furthermore, because of their non-volatile nature, the use of these memories helps normally-off computing and energy harvesting systems. However, the write access latency of non-volatile memories is considerably more than that of SRAM which can decrease the performance and predictability of the system. We present a predictable non-volatile data memory for real-time embedded systems which improves both worst-case execution time... 

    Fast and predictable non-volatile data memory for real-time embedded systems

    , Article IEEE Transactions on Computers ; Volume 70, Issue 3 , 2021 , Pages 359-371 ; 00189340 (ISSN) Bazzaz, M ; Hoseinghorban, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Energy consumption and predictability are two important constraints in designing real-time embedded systems and one of the recently proposed solutions for the energy consumption problem is the use of non-volatile memories instead of conventional SRAM due to their lower leakage power consumption and smaller cell area. Furthermore, because of their non-volatile nature, the use of these memories helps normally-off computing and energy harvesting systems to resume their execution without a large startup delay. However, the write access latency of non-volatile memories is considerably more than that of SRAM which can decrease the performance and predictability of the system if not managed... 

    Discrete feedback-based dynamic voltage scaling for safety critical real-time systems

    , Article Scientia Iranica ; Volume 20, Issue 3 , 2013 , Pages 647-656 ; 10263098 (ISSN) Ahmadian, A. S ; Hosseingholi, M ; Ejlali, A ; Sharif University of Technology
    2013
    Abstract
    Recently, the tradeoff between low energy consumption and high fault-tolerance has attracted a lot of attention as a key issue in the design of real-time systems. Dynamic Voltage Scaling (DVS) is commonly employed as one of the most effective low energy techniques for real-time systems. It has been observed that the use of feedback-based methods can improve the effectiveness of DVS-enabled systems. In this paper, we have investigated reducing the energy consumption of fault-tolerant hard real-time systems using the feedback control theory. Our proposed method makes the system capable of selecting the proper frequency and voltage settings in order to reduce the energy consumption, while... 

    A low overhead fault detection and recovery method for the faults in clock generators

    , Article 2009 IEEE Circuits and Systems International Conference on Testing and Diagnosis, ICTD'09, Chengdu, 28 April 2009 through 29 April 2009 ; 2009 ; 9781424425877 (ISBN) Karimpour Darav, N ; Amiri, M. A ; Ejlali, A ; Sharif University of Technology
    2009
    Abstract
    In many synchronous digital systems especially those used in mobile applications, the system is exposed to sever shaking that may lead to a failure in the clock generator. In this paper we present an effective method to tolerate the faults on the clock signal that are due to defects in external oscillators. Our technique utilizes no Phase-Lock Loops (PLL), no Delay-Locked Loops (DLL) and no high frequency oscillators because of their drawbacks so that it needs neither more effort to meet Electro-Magnetic Compatibility (EMC) and requirements nor extra hardware to implement DLLs. We have formally evaluated the meta-stability of our technique. This evaluation shows that our technique reliably... 

    High-Performance predictable NVM-based instruction memory for real-time embedded systems

    , Article IEEE Transactions on Emerging Topics in Computing ; 2018 ; 21686750 (ISSN) Bazzaz, M ; Hoseinghorban, A ; Poursafaei, F ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    Worst case execution time and energy consumption are two of the most important design constraints of real-time embedded systems. Many recent studies have tried to improve the memory subsystem of embedded systems by using emerging non-volatile memories. However, accessing these memories imposes performance and energy overhead and using them as the code memory could increase the worst case execution time of the system. In this paper, a new code memory architecture for non-volatile memories is proposed which reduces the effective memory access latency by employing memory access interleaving technique. Unlike common instruction access latency improvement techniques such as prefetching and... 

    CATNAP-Sim: A comprehensive exploration and a nonvolatile processor simulator for energy harvesting systems

    , Article IEEE Design and Test ; Volume 38, Issue 2 , April , 2021 , Pages 69-77 ; 21682356 (ISSN) Hosseinghorban, A ; Abbasinia, M ; Paridari, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    This article introduces an architecture exploration tool to study and understand the tradeoffs of future processor systems using nonvolatile memory and help guide the design of the future. Energy efficiency serves as a critical factor in designing embedded systems. Furthermore, the growing dominance of energy harvesting systems (EHSs) in sensor-rich applications has eventuated an emerging trend in wearable-systems and IoT devices as an alternative to battery-powered embedded systems. In this article, researchers have presented CATNAP-Sim, a simulator for EHSs, with NVP and hybrid NVM-SRAM memory  

    High-Performance predictable NVM-Based instruction memory for real-time embedded systems

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 9, Issue 1 , 2021 , Pages 441-455 ; 21686750 (ISSN) Bazzaz, M ; Hoseinghorban, A ; Poursafaei, F ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2021
    Abstract
    Worst case execution time and energy consumption are two of the most important design constraints of real-time embedded systems and memory subsystem has a major impact on both of them. Therefore, many recent studies have tried to improve the memory subsystem of embedded systems by using emerging non-volatile memories instead of conventional memories such as SRAM and DRAM. Indeed, the low leakage power dissipation and improved density of emerging non-volatile memories make them prime candidates for replacing the conventional memories. However, accessing these memories imposes performance and energy overhead and using them as the instruction memory could increase the worst case execution time,... 

    ELITE: An elaborated cross-layer rpl objective function to achieve energy efficiency in internet-of-things devices

    , Article IEEE Internet of Things Journal ; Volume 8, Issue 2 , 2021 , Pages 1169-1182 ; 23274662 (ISSN) Safaei, B ; Hosseini Monazzah, A. M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2021
    Abstract
    Energy consumption is a major challenge in IoT devices, which was aimed to be improved by employing energy-efficient objective functions (OFs) in the structure of the RPL routing protocol. Meanwhile, the majority of the existing OFs mainly perform the parent selection based on the gathered information from the routing layer. Nevertheless, based on our investigations, there exists a series of transmission operations in the medium access control (MAC) layer, which significantly affects the energy consumption in IoT devices. Therefore, in this article, we propose ELITE, an energy-efficient cross-layer OF, which introduces a novel routing metric, called strobe per packet ratio (SPR). SPR... 

    Cooperative hybrid ARQ in solar powered wireless sensor networks

    , Article Microelectronics Reliability ; Volume 52, Issue 12 , 2012 , Pages 3043-3052 ; 00262714 (ISSN) Jalali, F ; Khodadoustan, S ; Ejlali, A ; Sharif University of Technology
    2012
    Abstract
    Energy harvesters are used in today's Wireless Sensor Networks (WSNs) to harvest energy from the environment. Although an energy harvester can provide a supply source with a much greater lifetime than a battery, the amount of available energy for an energy harvesting system is a random variable. Furthermore, the proper management of energy harvesters has a considerable impact on reliability. It has been observed that cooperative error control mechanisms like Cooperative Automatic Repeat Request (C-ARQ) and Cooperative Hybrid ARQ (C-HARQ) can be used for improving the energy management and reliability in Energy Harvesting WSNs (EH-WSNs). Recently, the impact of C-ARC mechanism has been... 

    Reliability/energy trade-off in Bluetooth error control schemes

    , Article Microelectronics Reliability ; Volume 51, Issue 8 , August , 2011 , Pages 1398-1412 ; 00262714 (ISSN) Khodadoustan, S ; Jalali, F ; Ejlali, A ; Sharif University of Technology
    2011
    Abstract
    Two important objectives in wireless sensor networks are reliability and reducing energy consumption. Hence, overcoming energy constraints and utilizing error control schemes such as Automatic Repeat Request (ARQ) and Forward Error Correction (FEC) are necessary to improve the energy efficiency and reliability. However, these two concerns are at odds, so there is a trade-off between them. Considering this point, the impact of various error control schemes on these objectives and the trade-off between them has been considered in Bluetooth networks recently. However, all these works consider ideal assumptions (e.g., perfect error detection) only. This work evaluates the energy-efficiency of... 

    An accurate instruction-level energy estimation model and tool for embedded systems

    , Article IEEE Transactions on Instrumentation and Measurement ; Volume 62, Issue 7 , March , 2013 , Pages 1927-1934 ; 00189456 (ISSN) Bazzaz, M ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    2013
    Abstract
    Estimating the energy consumption of applications is a key aspect in optimizing embedded systems energy consumption. This paper proposes a simple yet accurate instruction-level energy estimation model for embedded systems. As a case study, the model parameters were determined for a commonly used ARM7TDMI-based microcontroller. The total energy includes the energy consumption of the processor core, Flash memory, memory controller, and SRAM. The model parameters are instructions opcode, number of shift operations, register bank bit flips, instructions weight and their Hamming distance, and different types of memory accesses. Also, the effect of pipeline stalls have been considered. In order to... 

    Error control schemes in solar energy harvesting wireless sensor networks

    , Article 2012 International Symposium on Communications and Information Technologies, ISCIT 2012 ; 2012 , Pages 979-984 ; 9781467311571 (ISBN) Jalali, F ; Khodadoustan, S ; Ejlali, A ; Sharif University of Technology
    2012
    Abstract
    To scavenge the energy from the environment and extend the network lifetime, some wireless sensor networks (WSNs) have been equipped with energy harvesters recently. However, the variable amount of environmental energy can affect the reliability of energy harvesting wireless sensor networks (EH-WSNs). In addition, data transmission over a wireless media is vulnerable. Hence, utilizing suitable error control schemes are necessary to improve the reliability. Regarding this point, Automatic Repeat Request (ARQ) and Cooperative ARQ (C-ARQ) schemes are applied in this generation of WSNs. Conventional ARQ as well as C-ARQ scheme are considered and examined through simulation. A comparative... 

    Stretch: Exploiting service level degradation for energy management in mixed-criticality systems

    , Article CSI Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2015, 7 October 2015 through 8 October 2015 ; October , 2015 , Page(s): 1 - 8 ; 9781467380478 (ISBN) Taherin, A ; Salehi, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Mixed-criticality systems are introduced due to industrial interest to integrate different types of functionalities with varying importance into a common and shared computing platform. Low-energy consumption is vital in mixed-criticality systems due to their ever-increasing computation requirements and the fact that they are mostly supplied with batteries. In case when high-criticality tasks overrun in such systems, low-criticality tasks can be whether ignored or degraded to assure high-criticality tasks timeliness. We propose a novel energy management method (called Stretch), which lowers the energy consumption of mixed-criticality systems with the cost of degrading service level of... 

    Fast write operations in non-volatile memories using latency masking

    , Article CSI International Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2018, 9 May 2018 through 10 May 2018 ; 2018 , Pages 1-7 ; 9781538614754 (ISBN) Hoseinghorban, A ; Bazzaz, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Energy consumption is an important issue in designing embedded systems and the emerging Internet of Things (IoT). The use of non-volatile memories instead of SRAM in these systems improves their energy consumption since non-volatile memories consume much less leakage power and provide better capacity given the same die area as SRAM. However, this can impose significant performance overhead because the write operation latency of non-volatile memories is more than that of SRAM. In this paper we presented an NVM-based data memory architecture for embedded systems which improves the performance of the system at the cost of a slight energy consumption overhead. The architecture employs... 

    PROWL: A Cache replacement policy for consistency aware renewable powered devices

    , Article IEEE Transactions on Emerging Topics in Computing ; 2020 Hoseinghorban, A ; Abbasinia, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Energy harvesting systems powered by renewable energy sources employ hybrid volatile-nonvolatile memory to enhance energy efficiency and forward progress. These systems have unreliable power sources and energy buffers with limited capacity, so they complete long-running applications across multiple power outages. However, a power outage might cause data inconsistency, because the data in nonvolatile memories are persistent, while the data in volatile memories are unsteady. State of the art studies proposed various memory architectures and compiler-based techniques to tackle the data inconsistency in these systems. These approaches impose too many unnecessary check-points on the system to... 

    A comprehensive analysis on the resilience of adiabatic logic families against transient faults

    , Article Integration ; Volume 72 , May , 2020 , Pages 183-193 Narimani, R ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    Elsevier B.V  2020
    Abstract
    With the emergence of various battery operated technologies in different computing domains and the challenge of heating in such technologies, the issue of energy dissipation has become more critical than ever before. In such systems, energy constraints in one hand, and heat generation, on the other hand, necessitates the employment of energy efficient technologies in the fabrication of digital circuits. One possible solution for mitigating the energy dissipation in digital circuits is the use of adiabatic families in the process of designing computing devices. Adiabatic circuits are designed mainly based on the principles of thermodynamics and provide a paradigm shift in the design of... 

    A low-waste reliable adiabatic platform

    , Article Computers and Electrical Engineering ; Volume 89 , 2021 ; 00457906 (ISSN) Narimani, R ; Safaei, B ; Ejlali, A ; Sharif University of Technology
    Elsevier Ltd  2021
    Abstract
    Given the importance of reducing energy consumption and the challenge of heat generation in classic CMOS circuits, adiabatic circuits are believed as an appropriate alternative. Most of the adiabatic circuit families come with a dual-rail structure, which provides them with an inherent hardware redundancy. Although this redundancy could be used for improving their reliability, no studies have been previously conducted to exploit this feature. In this regard, in this paper, we show that by exploiting the inherent hardware redundancy in adiabatic circuits, their reliability could be improved, while imposing a relatively low amount of energy overhead. Subsequently, with utilizing the outcome... 

    PROWL: A cache replacement policy for consistency aware renewable powered devices

    , Article IEEE Transactions on Emerging Topics in Computing ; Volume 10, Issue 1 , 2022 , Pages 476-487 ; 21686750 (ISSN) Hoseinghorban, A ; Abbasinia, M ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2022
    Abstract
    Energy harvesting systems powered by renewable energy sources employ hybrid volatile-nonvolatile memory to enhance energy efficiency and forward progress. These systems have unreliable power sources and energy buffers with limited capacity, so they complete long-running applications across multiple power outages. However, a power outage might cause data inconsistency, because the data in nonvolatile memories are persistent, while the data in volatile memories are unsteady. State of the art studies proposed various memory architectures and compiler-based techniques to tackle the data inconsistency in these systems. These approaches impose too many unnecessary check-points on the system to... 

    Online peak power and maximum temperature management in multi-core mixed-criticality embedded systems

    , Article 22nd Euromicro Conference on Digital System Design, DSD 2019, 28 August 2019 through 30 August 2019 ; 2019 , Pages 546-553 ; 9781728128610 (ISBN) Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2019
    Abstract
    In this work, we address peak power and maximum temperature in multi-core Mixed-Criticality (MC) systems. In these systems, a rise in peak power consumption may generate more heat beyond the cooling capacity. Additionally, the reliability and timeliness of MC systems may be affected due to excessive temperature. Therefore, managing peak power consumption has become imperative in multi-core MC systems. In this regard, we propose an online peak power management heuristic for multi-core MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and Dynamic Voltage and Frequency Scaling (DVFS). Specifically, our... 

    Power-Aware run-time scheduler for mixed-criticality systems on multi-core platform

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; 2020 Ranjbar, B ; Nguyen, T. D. A ; Ejlali, A ; Kumar, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2020
    Abstract
    In modern multi-core Mixed-Criticality (MC) systems, a rise in peak power consumption due to parallel execution of tasks with maximum frequency, specially in the overload situation, may lead to thermal issues, which may affect the reliability and timeliness of MC systems. Therefore, managing peak power consumption has become imperative in multi-core MC systems. In this regard, we propose an online peak power and thermal management heuristic for multi-core MC systems. This heuristic reduces the peak power consumption of the system as much as possible during runtime by exploiting dynamic slack and per-cluster Dynamic Voltage and Frequency Scaling (DVFS). Specifically, our approach examines...