Loading...
Search for: electric-power-utilization
0.012 seconds
Total 169 records

    An operating system level data migration scheme in hybrid DRAM-NVM memory architecture

    , Article Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, 14 March 2016 through 18 March 2016 ; 2016 , Pages 936-941 ; 9783981537062 (ISBN) Salkhordeh, R ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    With the emergence of Non-Volatile Memories (NVMs) and their shortcomings such as limited endurance and high power consumption in write requests, several studies have suggested hybrid memory architecture employing both Dynamic Random Access Memory (DRAM) and NVM in a memory system. By conducting a comprehensive experiments, we have observed that such studies lack to consider very important aspects of hybrid memories including the effect of: a) data migrations on performance, b) data migrations on power, and c) the granularity of data migration. This paper presents an efficient data migration scheme at the Operating System level in a hybrid DRAM-NVM memory architecture. In the proposed... 

    Captopril: Reducing the pressure of bit flips on hot locations in non-volatile main memories

    , Article Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, 14 March 2016 through 18 March 2016 ; 2016 , Pages 1116-1119 ; 9783981537062 (ISBN) Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    High static power consumption and insufficient scalability of the commonly used DRAM main memory technology appear to be tough challenges in upcoming years. Hence, adopting new technologies, i.e. non-volatile memories (NVMs), is a proper choice. NVMs tolerate a low number of write operations while having good scalability and low static power consumption. Due to the non-destructive nature of a read operation and the long latency of a write operation in NVMs, designers use read-before-write (RBW) mechanism to mask the unchanged bits during write operation in order to reduce bit flips. Based on this observation that some specific locations of blocks are responsible for the majority of bit... 

    Smart meters big data: Game theoretic model for fair data sharing in deregulated smart grids

    , Article IEEE Access ; Volume 3 , December , 2015 , Pages 2743-2754 ; 21693536 (ISSN) Yassine, A ; Nazari Shirehjini, A. A ; Shirmohammadi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Aggregating fine-granular data measurements from smart meters presents an opportunity for utility companies to learn about consumers' power consumption patterns. Several research studies have shown that power consumption patterns can reveal a range of information about consumers, such as how many people are in the home, the types of appliances they use, their eating and sleeping routines, and even the TV programs they watch. As we move toward liberalized energy markets, many different parties are interested in gaining access to such data, which has enormous economical, societal, and environmental benefits. However, the main concern is that many such beneficial uses of smart meter big data... 

    Energy-efficient manycast routing and spectrum assignment in elastic optical networks for cloud computing environment

    , Article Journal of Lightwave Technology ; Volume 33, Issue 19 , July , 2015 , Pages 4008-4018 ; 07338724 (ISSN) Fallahpour, A ; Beyranvand, H ; Salehi, J. A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, we present an energy-efficient manycast routing and spectrum assignment (EEM-RSA) algorithm in elastic optical networks supporting cloud computing applications. The proposed EEM-RSA is adapted for both static and dynamic scenarios. First, an integer linear programing formulation is derived for energy-efficient manycasting and spectrum assignment; then, the corresponding heuristic methods are proposed. To reduce the energy consumption, inactive (idle) elements are turned off, and in the proposed energy-efficient manycasting heuristic, the number of activated elements are minimized. The power consumption of network elements is modeled by considering a constant overhead for the... 

    An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 22 July 2015 through 24 July 2015 ; Volume 2015-September , July , 2015 , Pages 249-254 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Sadrosadati, M ; Mirhosseini, A ; Aghilinasab, H ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Network-on-Chip (NoC) is a key element in the total power consumption of a chip multiprocessor. Dynamic Voltage Scaling is a promising method for power saving in NoCs since it contributes to reduction in both static and dynamic power consumptions. In this paper, we propose a novel scheme to reduce on-chip network power consumption when the number of Virtual Channels (VCs) with active allocation requests per cycle is less than the number of total VCs. In our method, we introduce a reconfigurable arbitration logic which can be configured to have multiple latencies and hence, multiple slack times. The increased slack times are then used to reduce the supply voltage of the routers in order to... 

    DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations

    , Article 20th IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, 22 July 2015 through 24 July 2015 ; Volume 2015 , September , 2015 , Pages 225-230 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Salehi, M ; Tavana, M. K ; Rehman, S ; Kriebel, F ; Shafique, M ; Ejlali, A ; Henkel, J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Many-core processors facilitate coarse-grained reliability by exploiting available cores for redundant multithreading. However, ensuring high reliability with reduced power consumption necessitates joint considerations of variations in vulnerability, performance and power properties of software as well as the underlying hardware. In this paper, we propose a power-efficient reliability management system for many-core processors. It exploits various basic redundancy techniques (like, dual and triple modular redundancy) operating in different voltage-frequency levels, each offering distinct reliability, performance and power properties. Our system performs Dynamic Redundancy and Voltage Scaling... 

    Addressing NoC reliability through an efficient fibonacci-based crosstalk avoidance codec design

    , Article Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 18 November 2015 through 20 November 2015 ; Volume 9530 , 2015 , Pages 756-770 ; 03029743 (ISSN); 9783319271361 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Wang, G ; Perez, G. M ; Zomaya, A ; Li, K ; Sharif University of Technology
    Springer Verlag  2015
    Abstract
    The reliable transfer in Network on Chips (NoCs) can be threatened by crosstalk fault occurring in wires. Crossstalk fault is due to inter-wire coupling capacitance that based on the patterns of transitions appearing on the wires, significantly limits the reliability of NoCs. Among these transitions, 101 and 010 bit patterns impose the worst crosstalk effects to wires. This work intends to increase the reliability of NoCs against crosstalk faults by applying an improved Fibonacci-based numeral system, called Doubled-Penultimate Fibonacci (DP-Fibo). In the DP-Fibo coding algorithm, code words without ‘101’ and ‘010’ bit patterns are produced to reduce crosstalk faults. Experimental results... 

    Power and performance efficient partial circuits in packet-switched networks-on-chip

    , Article Proceedings of the 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2013 ; February , 2013 , Pages 509-513 ; 9780769549392 (ISBN) Teimouri, N ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    2013
    Abstract
    In this paper, we propose a hybrid packet-circuit switching for networks-on-chip to benefit from the advantages of both switching mechanisms. Integrating circuit and packet switching into a single NoC is achieved by partitioning the link bandwidth and router data-path and control-path elements into two parts and allocating each part to one of the switching methods. In this NoC, during injection in the source node, packets are initially forwarded on the packet-switched sub-network, but keep requesting a circuit towards the destination node. The circuit-switched part, at each cycle, collects the circuit construction requests, performs arbitration among the conflicting requests, and constructs... 

    Analytical leakage/temperature-aware power modeling and optimization for a variable speed real-time system

    , Article ACM International Conference Proceeding Series ; 2012 , Pages 81-90 ; 9781450314091 (ISBN) Mohaqeqi, M ; Kargahi, M ; Movaghar, A ; Sharif University of Technology
    2012
    Abstract
    We consider a DVS-enabled single-processor firm real-time (FRT) system with Poisson arrival jobs having exponential execution times and generally distributed relative deadlines. The queue size of the system bounds the number of jobs which may be available therein. Further, the processor speed depends on the number of jobs in the system which varies because of the job arrivals, service completions, and dead-line misses. Thus, the processor power consumption, includling both the dynamic and leakage powers, depends on the stochastic nature of the system. More specifically, the instantaneous dynamic power consumption lonely depends on the number of jobs at that moment. However, the instantaneous... 

    A low power, eight-phase LC-ring oscillator for clock and data recovery application

    , Article 2012 Workshop on Integrated Nonlinear Microwave and Millimetre-Wave Circuits, INMMIC 2012 ; 2012 ; 9781467329491 (ISBN) Parkalian, N ; Hajsadeghi, K ; Sharif University of Technology
    2012
    Abstract
    A four stage LC-ring oscillator is presented. Eight different phases are generated in which there in 45 degrees phase difference between consecutive outputs and direction of phases is defined. Nmos capacitors in parallel with constant capacitors are used for coupling between stages. The control voltage is applied to Pmos varactors to adjust the oscillation frequency. The advantages of this structure are the rather small inductors size, low power consumption, and tuning curve linearity. The proposed structure is simulated in 0.18um CMOS technology. Power consumption for each stage is 4.8mW from a 1.8B supply. The proposed VCO has a phase noise of -121dBc/Hz at 1MHz offset from the center... 

    Data center power reduction by heuristic variation-aware server placement and chassis consolidation

    , Article CADS 2012 - 16th CSI International Symposium on Computer Architecture and Digital Systems ; 2012 , Pages 150-155 ; 9781467314824 (ISBN) Pahlavan, A ; Momtazpour, M ; Goudarzi, M ; Sharif University of Technology
    2012
    Abstract
    The growth in number of data centers and its power consumption costs in recent years, along with ever increasing process variation in nanometer technologies emphasizes the need to incorporate variation-aware power reduction strategies in early design stages. Moreover, since the power characteristics of identically manufactured servers vary in the presence of process variation, their position in the data center should be optimally determined. In this paper, we introduce two heuristic variation-aware server placement algorithm based on power characteristic of servers and heat recirculation model of data center. In the next step, we utilize an Integer Linear Programming (ILP) based... 

    Scalable architecture for a contention-free optical network on-chip

    , Article Journal of Parallel and Distributed Computing ; Volume 72, Issue 11 , 2012 , Pages 1493-1506 ; 07437315 (ISSN) Koohi, S ; Hessabi, S ; Sharif University of Technology
    2012
    Abstract
    This paper proposes CoNoC (Contention-free optical NoC) as a new architecture for on-chip routing of optical packets. CoNoC is built upon all-optical switches (AOSs) which passively route optical data streams based on their wavelengths. The key idea of the proposed architecture is the utilization of per-receiver wavelength in the data network to prevent optical contention at the intermediate nodes. Routing optical packets according to their wavelength eliminates the need for resource reservation at the intermediate nodes and the corresponding latency, power, and area overheads. Since passive architecture of the AOS confines the optical contention to the end-points, we propose an electrical... 

    Power-efficient deterministic and adaptive routing in torus networks-on-chip

    , Article Microprocessors and Microsystems ; Volume 36, Issue 7 , 2012 , Pages 571-585 ; 01419331 (ISSN) Rahmati, D ; Sarbazi Azad, H ; Hessabi, S ; Kiasari, A. E ; Sharif University of Technology
    Elsevier  2012
    Abstract
    Modern SoC architectures use NoCs for high-speed inter-IP communication. For NoC architectures, high-performance efficient routing algorithms with low power consumption are essential for real-time applications. NoCs with mesh and torus interconnection topologies are now popular due to their simple structures. A torus NoC is very similar to the mesh NoC, but has rather smaller diameter. For a routing algorithm to be deadlock-free in a torus, at least two virtual channels per physical channel must be used to avoid cyclic channel dependencies due to the warp-around links; however, in a mesh network deadlock freedom can be insured using only one virtual channel. The employed number of virtual... 

    Application specific router architectures for NoCs: An efficiency and power consumption analysis

    , Article Mechatronics ; Volume 22, Issue 5 , 2012 , Pages 531-537 ; 09574158 (ISSN) Najjari, N ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2012
    Abstract
    Networks on chip (NoC) have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of intellectual properties (IP) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores onto the tiles of a chip. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs onto the tiles of the network. Different mapping algorithms have been proposed for NoCs which allocate a set of IPs to given network topologies. In these mapping algorithms, there is a restriction which limits IPs... 

    The 2D digraph-based NoCs: Attractive alternatives to the 2D mesh NoCs

    , Article Journal of Supercomputing ; Volume 59, Issue 1 , January , 2012 , Pages 1-21 ; 09208542 (ISSN) Sabbaghi Nadooshan, R ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Kluwer Academic Publishers  2012
    Abstract
    This paper proposes two-dimensional directed graphs (or digraphs for short) as a promising alternative to the popular 2D mesh topology for networks-onchip (NoCs). Mesh is the most popular topology for the NoCs, mainly due to its suitability for on-chip implementation and low cost. However, the fact that a digraph offers a lower diameter than its equivalent linear array of equal cost motivated us to evaluate digraphs as the underlying topology of NoCs. This paper introduces a family of NoC topologies based on three well-known digraphs, namely de Bruijn, shuffleexchange, and Kautz. We study topological properties of the proposed topologies. We show that the proposed digraph-based topologies... 

    High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 1 August 2011 through 3 August 2011 ; August , 2011 , Pages 79-84 ; 15334678 (ISSN) ; 9781612846590 (ISBN) Jadidi, A ; Arjomand, M ; SarbaziAzad, H ; Sharif University of Technology
    2011
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 29, Issue 10 , September , 2010 , Pages 1558-1571 ; 02780070 (ISSN) Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    2010
    Abstract
    End-to-end delay, throughput, energy consumption, and silicon area are the most important design metrics of networks-on-chip (NoCs). Although several analytical models have been previously proposed for predicting such metrics in NoCs, very few of them consider the effect of message waiting time in the buffers of network routers for predicting overall power consumptions and none of them consider structural heterogeneity of network routers. This paper introduces two inter-related analytical models to compute message latency and power consumption of NoCs with arbitrary topology, buffering structure, and routing algorithm. Buffer allocation scheme defines the buffering space for each individual... 

    A simplified droop method implementation in parallel UPS inverters with Proportional-Resonant controller

    , Article Iranian Journal of Science and Technology, Transaction B: Engineering ; Volume 33, Issue 2 , 2009 , Pages 163-178 ; 10286284 (ISSN) Hasanzadeh, A ; Mokhtari, H ; Sharif University of Technology
    2009
    Abstract
    In this paper, a simpler implementation of the well-known droop method for the control of parallel Uninterruptible Power Supply (UPS) systems is presented. In this method, in the power-sharing control scheme, the output current is calculated by software without the need for a current sensor, resulting in a simpler and cheaper structure. By doing so, the number of feedback sensors is reduced from three to two. The paralleling strategy uses the droop method in which the control strategy is based on the drop in the inverter output frequency and amplitude. The application of Proportional-Resonant (PR) controllers is also extended to parallel inverter and its superior performance over the... 

    Dual-rail transition logic: A logic style for counteracting power analysis attacks

    , Article Computers and Electrical Engineering ; Volume 35, Issue 2 , 2009 , Pages 359-369 ; 00457906 (ISSN) Moradi, A ; Shalmani, M. T .M ; Salmasizadeh, M ; Sharif University of Technology
    2009
    Abstract
    In this paper, a new logic style is proposed to be used in the implementation of cryptographic algorithms. The aim of this approach is to counteract power analysis attacks. The proposed technique is based on the transition signaling. In dual-rail transition logic, one-bit value is transmitted by a transition on the proper signal of a couple of wires. According to this concept, converter units and logic gates are defined; it is proposed to use flip-flops to build DTL alternative parts. Although the usage of flip-flops leads to increase the required area, experimental results show that the power consumption of DTL circuits depends on unpredictable initial state of T-flip-flops. In other words,... 

    Semiactive viscous tensile bracing system

    , Article Journal of Structural Engineering ; Volume 135, Issue 4 , 2009 , Pages 425-436 ; 07339445 (ISSN) Rahani, E.K ; Bakhshi, A ; Golafshani, A.A ; Sharif University of Technology
    2009
    Abstract
    Structural control using energy dissipater devices is emerging as a heavily researched strategy in earthquake engineering. Among several control systems, semiactive control is usually possible and efficient. In this research, a semiactive energy dissipating bracing system based on a viscous damper is proposed. In the conventional bracing systems, it is assumed that the braces can buckle under compression. Therefore, a semiactive on-off brace strategy is implemented to improve the conventional brace performance. Further, an energy absorbing mechanism is implemented. In the proposed system, the buckling of the member is prevented by implementing a one-way valve device. The permanent story...