Loading...
Search for: electric-power-utilization
0.013 seconds
Total 169 records

    Sawability ranking of carbonate rock using fuzzy analytical hierarchy process and TOPSIS approaches

    , Article Scientia Iranica ; Volume 18, Issue 5 , 2011 , Pages 1106-1115 ; 10263098 (ISSN) Mikaeil, R ; Yousefi, R ; Ataei, M ; Sharif University of Technology
    Abstract
    The aim of this paper is developing a new hierarchical model to evaluate and rank the sawability (power consumption) of carbonate rock with the use of effective and major criteria, and simultaneously taking subjective judgments of decision makers into consideration. The proposed approach is based on the combination of Fuzzy Analytic Hierarchy Process (FAHP) method with TOPSIS (Technique for Order Preference by Similarity to Ideal Solution) methods. FAHP is used for determining the weights of the criteria by decision makers, and then rankings of carbonate rocks are determined by TOPSIS. The proposed method is applied for Iranian ornamental stone to evaluate the power consumption in rock... 

    High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 1 August 2011 through 3 August 2011 ; August , 2011 , Pages 79-84 ; 15334678 (ISSN) ; 9781612846590 (ISBN) Jadidi, A ; Arjomand, M ; SarbaziAzad, H ; Sharif University of Technology
    2011
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    Smart meters big data: Game theoretic model for fair data sharing in deregulated smart grids

    , Article IEEE Access ; Volume 3 , December , 2015 , Pages 2743-2754 ; 21693536 (ISSN) Yassine, A ; Nazari Shirehjini, A. A ; Shirmohammadi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Aggregating fine-granular data measurements from smart meters presents an opportunity for utility companies to learn about consumers' power consumption patterns. Several research studies have shown that power consumption patterns can reveal a range of information about consumers, such as how many people are in the home, the types of appliances they use, their eating and sleeping routines, and even the TV programs they watch. As we move toward liberalized energy markets, many different parties are interested in gaining access to such data, which has enormous economical, societal, and environmental benefits. However, the main concern is that many such beneficial uses of smart meter big data... 

    Energy-efficient manycast routing and spectrum assignment in elastic optical networks for cloud computing environment

    , Article Journal of Lightwave Technology ; Volume 33, Issue 19 , July , 2015 , Pages 4008-4018 ; 07338724 (ISSN) Fallahpour, A ; Beyranvand, H ; Salehi, J. A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, we present an energy-efficient manycast routing and spectrum assignment (EEM-RSA) algorithm in elastic optical networks supporting cloud computing applications. The proposed EEM-RSA is adapted for both static and dynamic scenarios. First, an integer linear programing formulation is derived for energy-efficient manycasting and spectrum assignment; then, the corresponding heuristic methods are proposed. To reduce the energy consumption, inactive (idle) elements are turned off, and in the proposed energy-efficient manycasting heuristic, the number of activated elements are minimized. The power consumption of network elements is modeled by considering a constant overhead for the... 

    An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators

    , Article Proceedings of the International Symposium on Low Power Electronics and Design, 22 July 2015 through 24 July 2015 ; Volume 2015-September , July , 2015 , Pages 249-254 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Sadrosadati, M ; Mirhosseini, A ; Aghilinasab, H ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Network-on-Chip (NoC) is a key element in the total power consumption of a chip multiprocessor. Dynamic Voltage Scaling is a promising method for power saving in NoCs since it contributes to reduction in both static and dynamic power consumptions. In this paper, we propose a novel scheme to reduce on-chip network power consumption when the number of Virtual Channels (VCs) with active allocation requests per cycle is less than the number of total VCs. In our method, we introduce a reconfigurable arbitration logic which can be configured to have multiple latencies and hence, multiple slack times. The increased slack times are then used to reduce the supply voltage of the routers in order to... 

    DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations

    , Article 20th IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2015, 22 July 2015 through 24 July 2015 ; Volume 2015 , September , 2015 , Pages 225-230 ; 15334678 (ISSN) ; 9781467380096 (ISBN) Salehi, M ; Tavana, M. K ; Rehman, S ; Kriebel, F ; Shafique, M ; Ejlali, A ; Henkel, J ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Many-core processors facilitate coarse-grained reliability by exploiting available cores for redundant multithreading. However, ensuring high reliability with reduced power consumption necessitates joint considerations of variations in vulnerability, performance and power properties of software as well as the underlying hardware. In this paper, we propose a power-efficient reliability management system for many-core processors. It exploits various basic redundancy techniques (like, dual and triple modular redundancy) operating in different voltage-frequency levels, each offering distinct reliability, performance and power properties. Our system performs Dynamic Redundancy and Voltage Scaling... 

    On the use of pumped storage for wind energy maximization in transmission-constrained power systems

    , Article IEEE Transactions on Power Systems ; Volume 30, Issue 2 , 2015 , Pages 1017-1025 ; 08858950 (ISSN) Hozouri, M. A ; Abbaspour, A ; Fotuhi Firuzabad, M ; Moeini Aghtaie, M ; Sharif University of Technology
    Abstract
    Owing to wind power inherent characteristics and technical constraints of power systems operation, a considerable amount of wind energy cannot be delivered to load centers and gets curtailed. Transmission congestion together with temporal mismatch between load and available wind power can be accounted as the main reasons for this unpleasant event. This paper aims to concentrate on the wind energy curtailment for which it provides a combinatorial planning model to maximize wind power utilization. Jointly operating the wind power generation system with pumped hydro energy storage (PHES), the planning procedure tries to reach schemes with the minimum level of wind energy curtailment as well as... 

    Addressing NoC reliability through an efficient fibonacci-based crosstalk avoidance codec design

    , Article Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 18 November 2015 through 20 November 2015 ; Volume 9530 , 2015 , Pages 756-770 ; 03029743 (ISSN); 9783319271361 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Wang, G ; Perez, G. M ; Zomaya, A ; Li, K ; Sharif University of Technology
    Springer Verlag  2015
    Abstract
    The reliable transfer in Network on Chips (NoCs) can be threatened by crosstalk fault occurring in wires. Crossstalk fault is due to inter-wire coupling capacitance that based on the patterns of transitions appearing on the wires, significantly limits the reliability of NoCs. Among these transitions, 101 and 010 bit patterns impose the worst crosstalk effects to wires. This work intends to increase the reliability of NoCs against crosstalk faults by applying an improved Fibonacci-based numeral system, called Doubled-Penultimate Fibonacci (DP-Fibo). In the DP-Fibo coding algorithm, code words without ‘101’ and ‘010’ bit patterns are produced to reduce crosstalk faults. Experimental results... 

    A hierarchical sub-chromosome genetic algorithm (Hsc-ga) to optimize power consumption and data communications reliability in wireless sensor networks

    , Article Wireless Personal Communications ; Volume 80, Issue 4 , 2015 , Pages 1579-1605 ; 09296212 (ISSN) Hosseini, E. S ; Esmaeelzadeh, V ; Eslami, M ; Sharif University of Technology
    Abstract
    High reliability and low power consumption are among the major requirements in design of wireless sensor networks (WSNs). In this paper, a multi-objective problem is formulated as a Joint Power consumption and data Reliability (JPR) optimization problem. For this purpose, a connected dominating set (CDS)-based topology control approach is proposed. Our objective is to self-organize the network with minimum interference and power consumption. We consider the power changes into a topology with minimum CDS infrastructure subject to connectivity constraints. Since this problem is NP-hard, it cannot be dealt with using polynomial-time exact algorithms. Therefore, we first present a genetic... 

    Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Volume 29, Issue 10 , September , 2010 , Pages 1558-1571 ; 02780070 (ISSN) Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    2010
    Abstract
    End-to-end delay, throughput, energy consumption, and silicon area are the most important design metrics of networks-on-chip (NoCs). Although several analytical models have been previously proposed for predicting such metrics in NoCs, very few of them consider the effect of message waiting time in the buffers of network routers for predicting overall power consumptions and none of them consider structural heterogeneity of network routers. This paper introduces two inter-related analytical models to compute message latency and power consumption of NoCs with arbitrary topology, buffering structure, and routing algorithm. Buffer allocation scheme defines the buffering space for each individual... 

    A distributed task migration scheme for mesh-based chip-multiprocessors

    , Article Parallel and Distributed Computing, Applications and Technologies, PDCAT Proceedings, 20 October 2011 through 22 October 2011 ; Oct , 2011 , Pages 24-29 ; 9780769545646 (ISBN) Yaghoubi, H ; Modarresi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    A task migration scheme for homogeneous chip multiprocessors (CMP) is presented in this paper. The proposed migration mechanism focuses on the communication sub-system and aims to reduce the total power consumption and latency of the network-on-chip (NoC). In this work, starting from an initial mapping, the tasks migrate to new cores in such a way that the distance between the end-point nodes of high-volume communication flows is reduced. Finding the new place for a task is done in a distributed manner by applying an iterative local search that relies on the local information of each task about its communication demand. The task migration procedure also includes a pre-migration step that... 

    3D-DPS: An efficient 3D-CAC for reliable data transfer in 3D ICs

    , Article Proceedings - 2016 12th European Dependable Computing Conference, EDCC 2016, 5 September 2016 through 9 September 2016 ; 2016 , Pages 97-107 ; 9781509015825 (ISBN) Shirmohammadi, Z ; Rohbani, N ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Migration to Three Dimensional Integrated Circuits (3D ICs) can provide higher scalability, higher throughput, and lower power consumption with respect to Two Dimensional Integrated Circuits (2D ICs). Also, the latency bottleneck of interconnections in 2D ICs is efficiently solved in 3D ICs. This is due to the use of Through-Silicon-Vias (TSVs) in the communication structure of 3D ICs. TSVs are among the efficient fabrication mechanisms that connect stacked layers in 3D ICs. However, proximity and large size of TSVs make them highly prone to crosstalk faults. Crosstalk faults can cause mutual undesired influences between TSVs and thus seriously threat the reliability of data transfer on... 

    On the power allocation strategies in coordinated multi-cell networks using Stackelberg game

    , Article Eurasip Journal on Wireless Communications and Networking ; Volume 2016, Issue 1 , 2016 ; 16871472 (ISSN) Haddadi, S ; Oliaiee, A ; Behroozi, H ; Khalaj, B. H ; Sharif University of Technology
    Springer International Publishing 
    Abstract
    In this paper, we study the power allocation problem in multi-cell OFDMA networks, where given the tradeoff between user satisfaction and profit of the service provider, maximizing the revenue of the service provider is also taken into account. Consequently, two Stackelberg games are proposed for allocating proper powers to central and cell-edge users. In our algorithm, assuming the fact that users agree to pay more for better QoS level, the service provider imposes optimum prices for unit-power transmitted to users as they request different levels of QoS. In addition, in order to improve system performance at cell-edge locations, users are divided into two groups based on their distance to... 

    A new CPA resistant software implementation for symmetric ciphers with smoothed power consumption

    , Article 13th International ISC Conference on Information Security and Cryptology, 7 September 2016 through 8 September 2016 ; 2016 , Pages 38-45 ; 9781509039494 (ISBN) Safaeipour, M ; Salmasizadeh, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    In this paper we propose a new method for applying hiding countermeasure against CPA attacks. This method is for software implementation, based on smoothing power consumption of the device. We propose a new heuristic encoding scheme for implementing block cipher algorithms. Our new method includes only AND-equivalent and XOR-equivalent operations since every cryptographic algorithm can be implemented with two basic operations, namely AND, XOR. In order to practically evaluate resistance improvement against CPA, we implement the proposed coding scheme on SIMON, a lightweight block cipher, on a smartcard with ATmega163 microprocessor. The results of this implementation show a 350 times more... 

    Prediction of Iran's annual electricity demand: Artificial intelligence approaches

    , Article 11th International Conference on Innovations in Information Technology, 1 November 2015 through 3 November 2015 ; 2015 , Pages 373-377 ; 9781467385114 (ISBN) Hamed Moghadam Rafati, H ; Jalili, M ; Davari, H ; Maknoon, R ; Ismail L ; GE Software; IBM; UAE University (UAEU) ; Sharif University of Technolgoy
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    Accurate prediction of electricity demand is essential for planning, policy making and resource allocation in national level. In this manuscript, we applied a number of artificial intelligence methods to predict macro-scale electricity consumption rates in Iran. To this end, three socio-economic and three environmental factors were considered as inputs to the prediction models. We used data for the period 1967-2013 in order to predict the power demand in the years 2014-2018. Experimental results showed that the path coefficient analysis model with linear coefficients had the best performance among the models considered in this study. The outcome of this research can help the policy makers to... 

    MFLP: a low power encoding for on chip networks

    , Article Design Automation for Embedded Systems ; Volume 20, Issue 3 , 2016 , Pages 191-210 ; 09295585 (ISSN) Taassori, M ; Taassori, M ; Uysal, S ; Sharif University of Technology
    Springer New York LLC  2016
    Abstract
    Network on chip (NoC) has been proposed as an appropriate solution for today’s on-chip communication challenges. Power dissipation has become a key factor in the NoCs because of their shrinking sizes. In this paper, we propose a new encoding approach aimed at power reduction by decreasing the number of switching activities on the buses. This approach assigns the symbols to data word in such a way that the more frequent words are sent by less power consumption. This algorithm dedicates the symbols with less ones to high probability data and uses transition signaling to transmit data. The proposed method, unlike the existing low power encoding, does not rely on spatial redundancy and keeps the... 

    On designing an efficient numerical-based forbidden pattern free crosstalk avoidance codec for reliable data transfer of NoCs

    , Article Microelectronics Reliability ; Volume 63 , 2016 , Pages 304-313 ; 00262714 (ISSN) Shirmohammadi, Z ; Miremadi, S. G ; Sharif University of Technology
    Elsevier Ltd 
    Abstract
    Inter-wire coupling capacitances can lead to crosstalk fault that is strongly dependent on the transition patterns appearing on the wires. These transition patterns can cause mutual influences between adjacent wires of NoCs and as a result threaten the reliability of data transfer seriously. To increase the reliability of NoCs against the crosstalk fault, Forbidden Pattern Free (FPFs) codes are used. To generate FPF codes, numerical systems are among the overhead-efficient mechanisms. The algorithms of numerical systems have direct effect on the amounts of the codec overheads including power consumption, area occupation and performance of NoCs. To find an overhead-efficient numerical system,... 

    A low-power high-speed comparator for analog to digital converters

    , Article 2016 IEEE International Symposium on Circuits and Systems, ISCAS 2016, 22 May 2016 through 25 May 2016 ; Volume 2016-July , 2016 , Pages 2010-2013 ; 02714310 (ISSN); 9781479953400 (ISBN) Khorami, A ; Baraani Dastjerdi, M ; Fotowat Ahmadi, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    A low-power high-speed two-stage dynamic comparator is presented. In this circuit, PMOS transistors are used at the input of the first and second stages of the comparator. At the evaluation phase, the second stage is activated after the first stage with a predetermined delay to achieve a controllable pre-amplifier gain. Also, the first stage is turned off after the delay to reduce overall power consumption. Simulation results in 0.18 μτη CMOS technology, prove that the proposed circuit reduces the power consumption by a factor of two and reduces comparison time as large as 210ps in the same budget of offset voltage compared to the conventional circuit. Moreover, the offset voltage and power... 

    A novel PSO (Particle Swarm Optimization)-based approach for optimal schedule of refrigerators using experimental models

    , Article Energy ; Volume 107 , 2016 , Pages 707-715 ; 03605442 (ISSN) Farzamkia, S ; Ranjbar, H ; Hatami, A ; Iman Eini, H ; Sharif University of Technology
    Elsevier Ltd  2016
    Abstract
    Refrigerators have considerable share of residential consumption. They can be, however, flexible loads because their operating time and consumption patterns can be changed to some extent. Accordingly, they can be selected as a target for the study of Demand Side Management plans. In this paper, two experimental models for a refrigerator are derived. In obtaining the first model, following assumptions are made: the ambient temperature of refrigerator is assumed to be constant and the refrigerator door is remained closed. However, in the second model the variation of ambient temperature and door-opening effects are considered according to some general patterns. Further, two strategies are... 

    High-speed low-power comparator for analog to digital converters

    , Article AEU - International Journal of Electronics and Communications ; Volume 70, Issue 7 , 2016 , Pages 886-894 ; 14348411 (ISSN) Khorami, A ; Sharifkhani, M ; Sharif University of Technology
    Elsevier GmbH 
    Abstract
    A low-power high-speed two-stage dynamic comparator is presented. In this circuit, the voltage swing of the first stage of the comparator, pre-amplifier stage, is limited to Vdd/2 in order to reduce the first stage power consumption. Also, this voltage swing limitation provides a strong drive at the evaluation phase for the second stage to enhance the comparison speed. Analytical derivations along with post layout simulation results prove that the proposed method speeds up the conventional circuit by a factor of two in the same budget of power consumption and offset voltage. Furthermore, the proposed circuit offers a wide input common mode range as large as the supply voltage while employing...