Loading...
Search for: energy-consumption
0.007 seconds
Total 263 records

    A reconfigurable network-on-chip architecture for heterogeneous CMPs in the dark-silicon era

    , Article Proceedings of the International Conference on Application-Specific Systems, Architectures and Processors ; 18-20 June , 2014 , pp. 76-77 ; ISSN: 10636862 ; ISBN: 9781479936090 Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    Core specialization is a promising solution to the dark silicon challenge. This approach trades off the cheaper silicon area with energy-efficiency by integrating a selection of many diverse application-specific cores into a single billion-transistor multicore chip. Each application then activates the subset of cores that best matches its processing requirements. These cores act as a customized application-specific CMP for the application. Such an arrangement of cores requires some special on-chip inter-core communication treatment to efficiently connect active cores. In this paper, we propose a reconfigurable network-on-chip that leverages the routers of the dark portion of the chip to... 

    TooT: An efficient and scalable power-gating method for NoC routers

    , Article 10th IEEE/ACM International Symposium on Networks-on-Chip, NOCS 2016, 31 August 2016 through 2 September 2016 ; 2016 ; 9781467390309 (ISBN) Farrokhbakht, H ; Taram, M ; Khaleghi, B ; Hessabi, S ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    With the advent in technology and shrinking the transistor size down to nano scale, static power may become the dominant power component in Networks-on-Chip (NoCs). Powergating is an efficient technique to reduce the static power of under-utilized resources in different types of circuits. For NoC, routers are promising candidates for power gating, since they present high idle time. However, routers in a NoC are not usually idle for long consecutive cycles due to distribution of resources in NoC and its communication-based nature, even in low network utilizations. Therefore, power-gating loses its efficiency due to performance and power overhead of the packets that encounter powered-off... 

    LEXACT: low energy n-modular redundancy using approximate computing for real-time multicore processors

    , Article IEEE Transactions on Emerging Topics in Computing ; 2017 ; 21686750 (ISSN) Baharvand, F ; Ghassem Miremadi, S ; Sharif University of Technology
    Abstract
    Multicore processors are becoming popular in safety-critical applications. A series of these applications comprises of kernels where inexact computations may produce results within the boundary of sufficient quality though, for which the reliability should stay at the maximum possible level. Intrinsic core-level redundancy in multicore processors can be leveraged to achieve the desired reliability level in form of N-modular redundancy (NMR). While NMR provides a proactive means of reliability for critical systems, it has two main drawbacks: Increase in the area and energy consumption that are both limiting factors in the embedded systems. This paper presents a software-based method to... 

    Design and implementation of an online precise monitoring and performance analysis system for centrifugal pumps

    , Article IEEE Transactions on Industrial Electronics ; 2017 ; 02780046 (ISSN) Emami, S. A ; Emami, M. H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    Development of design and production technology of centrifugal pumps for achieving better performance and less energy consumption in these devices has increasingly been taken into consideration. This is due to the fact that centrifugal pumps have an important contribution in industrial applications and energy consumption around the world. Accordingly, the international standards of centrifugal pumps, urge more precise analysis systems for obtaining the performance curves of these pumps. For this reason, a complete, precise and real-time monitoring and performance analysis system for centrifugal pumps is introduced in this paper. The pump characteristics are measured by precise measuring... 

    A high density and low power cache based on novel SRAM cell

    , Article Journal of Computers ; Volume 4, Issue 7 , 2009 , Pages 567-575 ; 1796203X (ISSN) Azizi Mazreah, A ; Manzuri, M. T ; Mehrparvar, A ; Sharif University of Technology
    2009
    Abstract
    Based on the observation that dynamic occurrence of zeros in the cache access stream and cache-resident memory values of ordinary programs exhibit a strong bias towards zero, this paper presents a novel CMOS five-transistor SRAM cell (5T SRAM cell) for very high density and low power cache applications. This cell retains its data with leakage current and positive feedback without refresh cycle. Novel 5T SRAM cell uses one word-line and one bit-line and extra read-line control. The new cell size is 17% smaller than a conventional six-transistor SRAM cell using same design rules with no performance degradation. Simulation and analytical results show purposed cell has correct operation during... 

    Traffic aware dynamic node scheduling for power efficient sensor networks

    , Article 2004 Intelligent Sensors, Sensor Networks and Information Processing Conference, ISSNIP '04, Melbourne, 14 December 2004 through 17 December 2004 ; 2004 , Pages 37-42 ; 0780388933 (ISBN); 9780780388932 (ISBN) Ghannad Rezaie, M ; Shah Mansouri, V ; Pakravan, M. R ; Sharif University of Technology
    2004
    Abstract
    This paper proposes a new medium-access control (MAC) protocol designed for wireless sensor networks. A wireless sensor network is an array of large number of sensors interconnected by a multi-hop ad-hoc network. The primary objective for the sensor network is achieving to low-power consumption while latency is usually less important compare to traditional wireless networks. This characteristic of sensor network motivates the design of new MAC layer so that power consumption is reduced. In this paper, a novel traffic-aware algorithm based on distributed node schedule management (DMD) protocol is introduced that utilizes distributed dynamic node scheduling strategy to dramatically increase... 

    An enhanced dynamic range low-power delta-sigma modulator for portable voice band applications

    , Article 2003 Southwest Symposium on Mixed-Signal Design, SSMSD 2003, 23 February 2003 through 25 February 2003 ; 2003 , Pages 263-268 ; 0780377788 (ISBN); 9780780377783 (ISBN) Safarian, A. Q ; Aslanzadeh, H. A ; Mehrmanesh, S ; Vahidfar, M. B ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2003
    Abstract
    A new second order sigma delta modulator with the reduced number of op-amps, to decrease static power consumption and area, is presented for voice band applications such as codecs. This switched capacitor modulator uses reused capacitor technique to reduce the input thermal noise and circuit area. It improves the DR of modulator by almost 0.5 bit. The modulator shows 87 dB DR for voice band while consuming 125 μW from a 2.5 V supply. © 2003 IEEE  

    A 3.3 V/1 W class D audio power amplifier with 103 dB DR and 90% efficiency

    , Article 2002 23rd International Conference on Microelectronics, MIEL 2002, Nis, 12 May 2002 through 15 May 2002 ; Volume 2 , 2002 , Pages 581-584 ; 0780372352 (ISBN); 9780780372351 (ISBN) Tousi, V. M ; Sahandi, F ; Atarodi, M ; Shojaei, M ; Sharif University of Technology
    IEEE Computer Society  2002
    Abstract
    A single-chip Integrated circuit of 3.3 V/1 W class-D high fidelity and high efficiency audio power amplifier is presented in this paper. The design has been done using a 3.3 V/0.25 /spl mu/m CMOS process. The maximum output power is 1 W before the amplifier saturates. The THD+N at 0.5 W output power is below 0.03% and efficiency is better than 90% thanks to the careful design of the output stage. The dynamic range is more than 100 dB suitable for high fidelity audio applications. A single-loop single-bit third order sigma-delta modulator is used to generate the PWM signal from input audio signal. The PWM signal is then filtered at the output with a second order low pass filter external to... 

    A Novel Energy Efficient Method for LoRa Network with Practical Implementation

    , Ph.D. Dissertation Sharif University of Technology Hosseini Tehrani, Yas (Author) ; Atarodi, Mojtaba (Supervisor) ; Amini, Arash (Co-Supervisor)
    Abstract
    The Internet of things (IoT) is an emerging technology, encompassing wide aspects of applications. It provides intelligent connections between objects, devices, and living creatures. The IoT devices are mostly powered by limited energy sources like batteries. Therefore, optimizing their power consumption is necessary. First, this idea will be examined from different perspectives and then its applications and challenges in various fields and areas will be presented. The IoT applications require low-cost, low-energy, reliable, robust, secure, and scalable networks. The Low-power Wide-Area Network (LPWAN) is considered as one of the most potential solutions for IoT in the near future due to its... 

    Analysis of Personal Factors in Determination of Thermal Comfort Zone at University

    , M.Sc. Thesis Sharif University of Technology Naderzadeh, Sajjad (Author) ; Rajabi Ghahnavieh, Abbas (Supervisor)
    Abstract
    Due to the energy crisis in recent years, much of which is due to excessive energy consumption in the air conditioning of buildings, many studies have been conducted from the perspective of engineering sciences on the subject of insulation and optimization of buildings. This study aims to investigate the conditions of thermal comfort from the mental and psychological perspective of human beings, which is the basis of the individual's decision to accept thermal adaptation behavior in the standard temperature range. In this study, the thermal adaptation model was designed on the theory of programmed behavior, which can explain the adaptation of individuals to the comfort temperature region.... 

    Energy consumption forecasting of Iran using recurrent neural networks

    , Article Energy Sources, Part B: Economics, Planning and Policy ; Volume 6, Issue 4 , 2011 , Pages 339-347 ; 15567249 (ISSN) Avami, A ; Boroushaki, M ; Sharif University of Technology
    2011
    Abstract
    In this paper, a recurrent neural network model is developed in order to forecast the energy consumption as a complex nonlinear function of gross domestic product (GDP) and population in Iran. This intelligent model is trained by total energy consumption data as output and the population and GDP as inputs during 1976-2001, while 5 annual data points of the following years (2002-2006) are used to validate the model. It can describe time dependencies efficiently and the convergence rate is much faster. This model forecasts the trend of energy consumption annually. Simulation results show that this model can predict energy consumption in Iran with acceptable accuracy. It is expected that this... 

    A control-theoretic energy management for fault-tolerant hard real-time systems

    , Article Proceedings - IEEE International Conference on Computer Design: VLSI in Computers and Processors, 3 October 2010 through 6 October 2010 ; 2010 , Pages 173-178 ; 10636404 (ISSN) ; 9781424489350 (ISBN) Sharif Ahmadian, A ; Hosseingholi, M ; Ejlali, A ; Sharif University of Technology
    Abstract
    Recently, the tradeoff between low energy consumption and high fault-tolerance has attracted a lot of attention as a key issue in the design of real-time embedded systems. Dynamic Voltage Scaling (DVS) is known as one of the most effective low energy techniques for real-time systems. It has been observed that the use of control-theoretic methods can improve the effectiveness of DVS-enabled systems. In this paper, we have investigated reducing the energy consumption of fault-tolerant hard real-time systems using feedback control theory. Our proposed feedback-based DVS method makes the system capable of selecting the proper frequency and voltage settings in order to reduce the energy... 

    Evolutionary algorithm for reversed iterative optimisation method accuracy inspection applied for energetic and economic optimisation of a pulp and paper mill

    , Article International Journal of Exergy ; Volume 7, Issue 3 , 2010 , Pages 311-332 ; 17428297 (ISSN) Fani, M ; Mozafari, A ; Farhanieh, B ; Sharif University of Technology
    2010
    Abstract
    'Three-link-model' Exergoeconomic methodology optimises the design and operability of a system. Contrary to traditional iterative Exergoeconomic optimisation methods, a reversed method is used, since assumptions considered by Tsatsaronis for calculating cost-optimal Exergetic efficiency and relative cost difference, were not applicable, new assumptions have been adopted. In a case study applied to Mazandaran paper industry, iterative reversed optimisation results have been compared with evolutionary programming results. Replacement of Pressure Valve and Direct Cyclone Contact Evaporation is proposed, while by selection of the optimum decision variable, recoverable black liquor is increased... 

    Performability/energy tradeoff in error-control schemes for on-chip networks

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 18, Issue 1 , 2010 , Pages 1-14 ; 10638210 (ISSN) Ejlali, A ; Al Hashimi, B. M ; Rosinger, P ; Miremadi, S. G ; Benini, L ; Sharif University of Technology
    Abstract
    High reliability against noise, high performance, and low energy consumption are key objectives in the design of on-chip networks. Recently some researchers have considered the impact of various error-control schemes on these objectives and on the tradeoff between them. In all these works performance and reliability are measured separately. However, we will argue in this paper that the use of error-control schemes in on-chip networks results in degradable systems, hence, performance and reliability must be measured jointly using a unified measure, i.e., performability. Based on the traditional concept of performability, we provide a definition for the "Interconnect Performability".... 

    Consumption criteria and energy labeling of wet cooling towers in Iran

    , Article 2009 ASME Power Conference, 21 July 2009 through 23 July 2009 ; 2009 , Pages 153-157 ; 9780791843505 (ISBN) Sajadi, B ; Sayyadi, P ; Saidi, M. H ; Sharif University of Technology
    Abstract
    Increasing concerns about global warming and environmental pollution control has pushed the relevant organizations to set regulations and to develop programs for energy saving. In addition, for many high energy using appliances, energy labeling provides the end user with important data to select energy-efficient equipments and encourage the manufacturers to enhance the performance of their productions. In this research, a new energy-saving program for wet cooling towers has been developed. Considering a thermo-hydraulic analysis, a novel Energy Index (IE) has been extracted which takes all aspects of the problem into account. The present index can be used as a proper criterion for energy... 

    EATSDCD: A green energy-aware scheduling algorithm for parallel task-based application using clustering, duplication and DVFS technique in cloud datacenters

    , Article Journal of Intelligent and Fuzzy Systems ; Volume 36, Issue 6 , 2019 , Pages 5135-5152 ; 10641246 (ISSN) Barzegar, B ; Motameni, H ; Movaghar, A ; Sharif University of Technology
    IOS Press  2019
    Abstract
    Energy consumption and performance metrics have become critical issues for scheduling parallel task-based applications in high-performance computing systems such as cloud datacenters. The duplication and clustering strategy, as well as Dynamic Voltage Frequency Scaling (DVFS) technique, have separately been concentrated on reducing energy consumption and optimizing performance parameters such as throughput and makespan. In this paper, a dual-phase algorithm called EATSDCD which is an energy efficient time aware has been proposed. The algorithm uses the combination of duplication and clustering strategies to schedule the precedence-constrained task graph on datacenter processors through DVFS.... 

    EATSDCD: A green energy-aware scheduling algorithm for parallel task-based application using clustering, duplication and DVFS technique in cloud datacenters

    , Article Journal of Intelligent and Fuzzy Systems ; Volume 36, Issue 6 , 2019 , Pages 5135-5152 ; 10641246 (ISSN) Barzegar, B ; Motameni, H ; Movaghar, A ; Sharif University of Technology
    IOS Press  2019
    Abstract
    Energy consumption and performance metrics have become critical issues for scheduling parallel task-based applications in high-performance computing systems such as cloud datacenters. The duplication and clustering strategy, as well as Dynamic Voltage Frequency Scaling (DVFS) technique, have separately been concentrated on reducing energy consumption and optimizing performance parameters such as throughput and makespan. In this paper, a dual-phase algorithm called EATSDCD which is an energy efficient time aware has been proposed. The algorithm uses the combination of duplication and clustering strategies to schedule the precedence-constrained task graph on datacenter processors through DVFS.... 

    Multi-objective genetic optimized multiprocessor SoC design

    , Article 2008 International Symposium on System-on-Chip, SOC 2008, Tampere, 5 November 2008 through 6 November 2008 ; December , 2008 ; 9781424425419 (ISBN) Arjomand, M ; Sarbazi Azad, H ; Amiri, S. H ; Sharif University of Technology
    2008
    Abstract
    In this paper, we introduce a new Multi-Objective Genetic Algorithm (MOGA) for mapping a given set of intellectual property onto a Network-on-Chip architecture such that for a specific application total communication cost and energy consumption become optimized while bandwidth constraints are satisfied. As the main theoretical contribution, we first introduce a generic queuing model to estimate performance and an experimental energy consumption model during the design phase, with acceptable accuracy. Then, an efficient genetic algorithm employs these models to propose a Pareto optimal front for an application and an arbitrary topology. Experimental results show that the proposed algorithm is... 

    A low power SRAM based on five transistors cell

    , Article 13th International Computer Society of Iran Computer Conference on Advances in Computer Science and Engineering, CSICC 2008, Kish Island, 9 March 2008 through 11 March 2008 ; Volume 6 CCIS , 2008 , Pages 679-688 ; 18650929 (ISSN); 3540899847 (ISBN); 9783540899846 (ISBN) Azizi Mazreah, A ; Manzuri Shalmani, M. T ; Sharif University of Technology
    2008
    Abstract
    This paper proposes a low power SRAM based on five transistor SRAM cell. Proposed SRAM uses novel word-line decoding such that, during a read/write operation, only selected cell is connected to bit-line when one row is selected whereas, in conventional SRAM (CV-SRAM), all cells in selected row connected to their bit-lines, which in turn develops differential voltages across all bit-lines, and this makes energy consumption on unselected bit-lines. Proposed SRAM uses one bit-line and thus has lower bit-line leakage compared to CV-SRAM. Furthermore, the proposed SRAM incurs no area overhead, and has comparable read/write performance versus the CV-SRAM. Simulation results in standard 0.25μm CMOS... 

    PL-MAC; ProLonging network lifetime with a MAC layer approach in Wireless Sensor Networks

    , Article 2nd International Conference on Sensor Technologies and Applications, SENSORCOMM 2008, Cap Esterel, 25 August 2008 through 31 August 2008 ; 2008 , Pages 109-114 ; 9780769533308 (ISBN) Irandoost, A ; Taheri, S ; Movaghar, A ; Sharif University of Technology
    2008
    Abstract
    Energy consumption is one of the most important design criteria in Wireless Sensor Networks. Sensor nodes are expected to be battery-equipped. Due to their working environments, recharging or replacing batteries for each node is difficult and uneconomical. So prolonging the service lifetime of sensor nodes is a critical issue. The MAC protocol for WSNs plays a very important role in the control of energy consumption. Many of proposed MAC protocols attempt only to prolong the network lifetime for energy-efficiency. In this paper, we are going to reduce end-to-end latency in addition to extending the network lifetime. To reach this purpose, we propose a new adaptively ProLong MAC protocol...