Loading...
Search for: router
0.01 seconds
Total 122 records

    Performance and power efficient on-chip communication using adaptive virtual point-to-point connections

    , Article 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, NoCS 2009, San Diego, CA, 10 May 2009 through 13 May 2009 ; 2009 , Pages 203-212 ; 9781424441433 (ISBN) Modarressi, M ; Sarbazi Azad, H ; Tavakkol, A ; IEEE Circuits and Systems Society; Council for EDA; ACM Special Interest Group on Computer Architecture (SIGARCH); ACM Special Interest Group on Embedded Systems (SIGBED); ACM Special Interest Group on Design Automation (SIGDA); Silistix, Inc ; Sharif University of Technology
    2009
    Abstract
    In this paper, we propose a packet-switched network-on-chip (NoC) architecture which can provide a number of low-power, low-latency virtual point-to-point connections for communication flows. The work aims to improve the power and performance metrics of packet-switched NoC architectures and benefits from the power and resource utilization advantages of NoCs and superior communication performance of point-to-point dedicated links. The virtual point-to-point connections are set up by bypassing the entire router pipeline stages of the intermediate nodes. This work addresses constructing the virtual point-to-point connections at run-time using a light-weight setup network. It involves monitoring... 

    Efficient Application of NVM in Network Router’s Architecture

    , M.Sc. Thesis Sharif University of Technology Mayahinia, Mahta (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Paet classication is one of the most important tasks of network processors.Various algorithms have been proposed for paet classication on dierent computainal platforms. By the advent of soware dened networks and Openow protocol, previous semas for paet classication have dealt with many allenges. In these type of networks, multield lookups should be done on huge rule tables. e key pont is that paet classication process is not really computation intensive, but memory intensive.To speedup paet classication processes, we have proposed the use of Hybrid Memory Cube (HMC), a 3-die staed memory with the capability of near memory processing on its logic layer.By proposed seme the performance of the... 

    Energy Efficient Concurrent Test of Switches and Links for Networks-On-Chip

    , M.Sc. Thesis Sharif University of Technology Alamian, Sanaz (Author) ; Hessabi, Shahin (Supervisor)
    Abstract
    Nowadays by increasing the number of processing cores in system-on-chip, using networks-on-chip, as an optimized interconnection foundation for transferring data between processing cores is inevitable .Based on this, the necessity of designing and implementing an optimized structure for testing network-on-chip, considering various overheads such as power consumption, latency, bandwidth and area, becomes an important issue in designing network-on-chip. The purpose of this project is to design an optimized structure for testing routers and connecting links in network, which considers power consumption overhead, latency and area overhead on one hand, and fault coverage on the other hand.... 

    Hardware/Software Codesign of Network Router Inspired by Software-Defined Network

    , M.Sc. Thesis Sharif University of Technology Ansari, Mohammad Saeed (Author) ; Jahangir, Amir Hossein (Supervisor)
    Abstract
    There is a plethora of research and implementations that intend to increase the performance and reduce implementation costs of network routers. In this work, we review previous designs and propose a new network router design that is based on software-defined networks. Our design separates the data plane and the control plane from each other and connects both parts by using OpenFlow protocol. The control plane consists of a general small computer that utilizes the Quagga software to enforce the routing protocols. The control plane translates routing decisions to OpenFlow instructions and sends them to the data plane. The data plane is based on a switch that supports the OpenFlow protocol... 

    Reducing Power of On-chip Networks by Exploiting Latency Asymmetry of Router’s Pipeline Stages

    , M.Sc. Thesis Sharif University of Technology Sadrosadati, Mohammad (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    NOCs contribute to a large portion of a many-core SOC power consumption. A significant fraction of the mentioned power consumption is due to the buffers, crossbar and the links. Thus, in this thesis, a new method would be introduced which reduces the power consumption of the NOCs in large scale. This method utilizes the latency asymmetry of router pipeline stages for dynamic power reduction and uses different voltage swings for buffers, links and the crossbar in order to decrease the dynamic power consumption while maintaining the performance. Moreover, since the static power consumption has gained a noticeable importance in recent years, a method for degrading this power component is also... 

    Design and Analysis of a Simple Low-Power Network-on-Chip

    , M.Sc. Thesis Sharif University of Technology Gheibi Fetrat, Atiyeh (Author) ; Sarbazi Azad, Hamid (Supervisor) ; Hesabi, Shahin (Supervisor)
    Abstract
    The advancement of technology in the semiconductor industry and the resulting increase in the number of transistors on a chip has led to an increase in the number of processing cores an increase in the number of processing cores in a system on chip (SoC). A surge in the number of processing cores, makes their communication more and more noteworthy. This communication is established through the network on chip (NoC). One of the main challenges in NoC design is power management, as it constitutes a high percentage of the overall power consumption of the chip. One of the most power-hungry components of NoC is the router. According to our observation, some of the components of the routers are... 

    P2R2: Parallel Pseudo-Round-Robin arbiter for high performance NoCs

    , Article Integration, the VLSI Journal ; November , 2014 ; ISSN: 1679260 Bashizade, R ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Networks-on-Chip (NoCs) play an important role in the performance of Chip Multi-Processors (CMPs). Providing the desired performance under heavy traffics imposed by some applications necessitates NoC routers to have a large number of Virtual Channels (VCs). Increasing the number of VCs, however, will add to the delay of the critical path of the arbitration logic, and hence restricts the clock frequency of the router. In order to make it possible to enjoy the benefits of having many VCs and keep the clock frequency as high as that of a low-VC router, we propose Parallel Pseudo-Round-Robin (P2R2) arbiter. Our proposal is based on processing multiple groups of requests in parallel. Our... 

    The 2D digraph-based NoCs: Attractive alternatives to the 2D mesh NoCs

    , Article Journal of Supercomputing ; Vol. 59, issue. 1 , January , 2012 , pp. 1-21 ; ISSN: 9208542 Sabbaghi-Nadooshan, R ; Modarressi, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    This paper proposes two-dimensional directed graphs (or digraphs for short) as a promising alternative to the popular 2D mesh topology for networks-onchip (NoCs). Mesh is the most popular topology for the NoCs, mainly due to its suitability for on-chip implementation and low cost. However, the fact that a digraph offers a lower diameter than its equivalent linear array of equal cost motivated us to evaluate digraphs as the underlying topology of NoCs. This paper introduces a family of NoC topologies based on three well-known digraphs, namely de Bruijn, shuffleexchange, and Kautz. We study topological properties of the proposed topologies. We show that the proposed digraph-based topologies... 

    Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Vol. 29, issue. 10 , 2010 , p. 1558-1571 ; ISSN: 02780070 Arjomand, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    End-to-end delay, throughput, energy consumption, and silicon area are the most important design metrics of networks-on-chip (NoCs). Although several analytical models have been previously proposed for predicting such metrics in NoCs, very few of them consider the effect of message waiting time in the buffers of network routers for predicting overall power consumptions and none of them consider structural heterogeneity of network routers. This paper introduces two inter-related analytical models to compute message latency and power consumption of NoCs with arbitrary topology, buffering structure, and routing algorithm. Buffer allocation scheme defines the buffering space for each individual... 

    The 2D SEM: A novel high-performance and low-power mesh-based topology for networks-on-chip

    , Article International Journal of Parallel, Emergent and Distributed Systems ; Vol. 25, issue. 4 , 2010 , p. 331-344 ; ISSN: 17445760 Sabbaghi-Nadooshan, R ; Modarressi, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, a 2D shuffle-exchange based mesh topology, or 2D shuffle-exchange mesh (SEM) for short, is presented for network-on-chips. The proposed 2D topology applies the conventional well-known shuffle-exchange structure in each row and each column of the network. Compared to an equal sized mesh which is the most common topology in on-chip networks, the proposed shuffle-exchange based mesh network has smaller diameter but for an equal cost. Finally for better performance cross-shuffle is proposed. Simulation results show that the 2D SEM and 2D cross-shuffle effectively reduce the power consumption and improve performance metrics of the on-chip networks compared to the conventional mesh... 

    Virtual point-to-point connections for NoCs

    , Article IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ; Vol. 29, issue. 6 , 2010 , p. 855-868 ; ISSN: 02780070 Modarressi, M ; Tavakkol, A ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    In this paper, we aim to improve the performance and power metrics of packet-switched network-on-chips (NoCs) and benefits from the scalability and resource utilization advantages of NoCs and superior communication performance of point-to-point dedicated links. The proposed method sets up the virtual point-to-point (VIP) connections over one virtual channel (which bypasses the entire router pipeline) at each physical channel of the NoC. We present two schemes for constructing such VIP circuits. In the first scheme, the circuits are constructed for an application based on its task-graph at design time. The second scheme addresses constructing the connections at run-time using a light-weight... 

    P2R2: Parallel Pseudo-Round-Robin arbiter for high performance NoCs

    , Article Integration, the VLSI Journal ; Volume 50 , 2014 , pp.173–182 ; ISSN: 0167-9260 Bashizade, R ; Sarbazi-Azad, H ; Sharif University of Technology
    Abstract
    Networks-on-Chip (NoCs) play an important role in the performance of Chip Multi-Processors (CMPs). Providing the desired performance under heavy traffics imposed by some applications necessitates NoC routers to have a large number of Virtual Channels (VCs). Increasing the number of VCs, however, will add to the delay of the critical path of the arbitration logic, and hence restricts the clock frequency of the router. In order to make it possible to enjoy the benefits of having many VCs and keep the clock frequency as high as that of a low-VC router, we propose Parallel Pseudo-Round-Robin (P2R2) arbiter. Our proposal is based on processing multiple groups of requests in parallel. Our... 

    Using binary-reflected gray coding for crosstalk mitigation of network on chip

    , Article Proceedings - 17th CSI International Symposium on Computer Architecture and Digital Systems, CADS 2013 ; 2013 , Pages 81-86 ; 9781479905621 (ISBN) Shirmohammadi, Z ; Miremadi, S. G ; Sharif University of Technology
    IEEE Computer Society  2013
    Abstract
    This paper proposes an efficient crosstalk mitigation method for Network-on-Chips. This method uses the binary-reflected Gray coding to send the proper code word into a channel. As the Gray code has reflective and unit distance properties, based on these facts, content of every flit is selected so that to minimize the number of forbidden transition patterns in the channel. A VHDL-based simulation is carried out for several channel widths. Simulation results show that the proposed method reduces the forbidden transitions up to 26% and can save power in NoC links  

    ONC3: All-optical NoC based on cube-connected cycles with quasi-DOR algorithm

    , Article Proceedings - 15th Euromicro Conference on Digital System Design, DSD 2012 ; 2012 , Pages 296-303 ; 9780769547985 (ISBN) Abdollahi, M ; Tavana, M. K ; Koohi, S ; Hessabi, S ; Sharif University of Technology
    2012
    Abstract
    This paper proposes a nanophotonic Network-on-Chip architecture based on the traditional Cube-Connected Cycles topology (CCC), which is named as ONC3. We also suggest a contention-free quasi-Dimension-Order-Routing algorithm for the proposed structure. Compared to the previous 2D layouts, our novel scheme lessens the crosstalk parameter of the insertion loss and consequently, the power consumption. Besides, the router structure is area-efficient. On the other hand, optical destination checking supersedes electrical resource reservation, with utilizing passive wavelength routing method and Wavelength Division Multiplexing scheme, simultaneously. The efficiency of the proposed architecture, in... 

    Application specific router architectures for NoCs: An efficiency and power consumption analysis

    , Article Mechatronics ; Volume 22, Issue 5 , 2012 , Pages 531-537 ; 09574158 (ISSN) Najjari, N ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2012
    Abstract
    Networks on chip (NoC) have been proposed as a solution to mitigate complex on-chip communication problems. NoCs are composed of intellectual properties (IP) which are interconnected by on-chip switching fabrics. A step in the design process of NoCs is hardware virtualization which is mapping the IP cores onto the tiles of a chip. The communication among the IP cores greatly affects the performance and power consumption of NoCs which itself is deeply related to the placement of IPs onto the tiles of the network. Different mapping algorithms have been proposed for NoCs which allocate a set of IPs to given network topologies. In these mapping algorithms, there is a restriction which limits IPs... 

    A reliable and power efficient flow-control method to eliminate crosstalk faults in network-on-chips

    , Article Microprocessors and Microsystems ; Volume 35, Issue 8 , 2011 , Pages 766-778 ; 01419331 (ISSN) Patooghy, A ; Miremadi, S. G ; Tabkhi, H ; Sharif University of Technology
    Abstract
    This paper proposes a power-efficient flow-control method to tackle the problem of crosstalk faults in Network-on-Chips (NoCs). The method, called FRR (Flit Reordering/Rotation), combines three coding mechanisms to entirely eliminate opposite direction transitions (OD transitions) as the source of crosstalk faults in NoC communication channels. The first mechanism, called flit-reordering, reorders flits of every packet to find a flit sequence which produces the lowest number of OD transitions on NoC channels. The second mechanism called flit-rotation, logically rotates the content of every flit of the packet with respect to previously sent flit to achieve even more reduction in the number of... 

    PAM: A packet manipulation mechanism for mitigating crosstalk faults in NoCs

    , Article Proceedings - 15th IEEE International Conference on Computer and Information Technology, CIT 2015, 14th IEEE International Conference on Ubiquitous Computing and Communications, IUCC 2015, 13th IEEE International Conference on Dependable, Autonomic and Secure Computing, DASC 2015 and 13th IEEE International Conference on Pervasive Intelligence and Computing, PICom 2015, 26 October 2015 through 28 October 2015 ; October , 2015 , Pages 1895-1902 ; 9781509001545 (ISBN) Shirmohammadi, Z ; Ansari, M ; Abharian, S. K ; Safari, S ; Miremadi, S. G ; Atzori L ; Jin X ; Jarvis S ; Liu L ; Calvo R. A ; Hu J ; Min G ; Georgalas N ; Wu Y ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    This paper proposes an efficient mechanism that mitigates crosstalk faults in Network-on-Chips (NoCs). This is done by using a Packet Manipulating mechanism called PAM for reliable data transfer of NoCs. PAM investigates the transitions of a packet to minimize the forbidden transition patterns appearing during the flit traversal in NoCs. To do this, the content of a packet is manipulated using three different manipulating mechanisms. In other words, PAM manipulates the content of packet in three manipulating modes including: vertical, horizontal and diagonal modes. Then, comparing the transitions of these manipulating mechanisms, a packet with minimum numbers of transitions is selected to be... 

    Leveraging dark silicon to optimize networks-on-chip topology

    , Article Journal of Supercomputing ; Volume 71, Issue 9 , 2015 , Pages 3549-3566 ; 09208542 (ISSN) Modarressi, M ; Sarbazi-Azad, H ; Sharif University of Technology
    Kluwer Academic Publishers  2015
    Abstract
    This paper presents a reconfigurable network-on-chip (NoC) for many-core chip multiprocessors (CMPs) in the dark silicon era, where a considerable part of high-end chips cannot be powered up due to the power and bandwidth walls. Core specialization, which trades off the cheaper silicon area with energy-efficiency, is a promising solution to the dark silicon challenge. This approach integrates a selection of many diverse application-specific cores into a single many-core chip. Each application then activates those cores that best match its processing requirements. Since active cores may not always form a contiguous active region in the chip, such a partially active many-core CMP requires some... 

    P2R2: Parallel pseudo-round-robin arbiter for high performance NoCs

    , Article Integration, the VLSI Journal ; Volume 50 , June , 2015 , Pages 173-182 ; 01679260 (ISSN) Bashizade, R ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2015
    Abstract
    Abstract Networks-on-Chip (NoCs) play an important role in the performance of Chip Multi-Processors (CMPs). Providing the desired performance under heavy traffics imposed by some applications necessitates NoC routers to have a large number of Virtual Channels (VCs). Increasing the number of VCs, however, will add to the delay of the critical path of the arbitration logic, and hence restricts the clock frequency of the router. In order to make it possible to enjoy the benefits of having many VCs and keep the clock frequency as high as that of a low-VC router, we propose Parallel Pseudo-Round-Robin (P2R2) arbiter. Our proposal is based on processing multiple groups of requests in parallel. Our... 

    Improving the performance of packet-switched networks-on-chip by SDM-based adaptive shortcut paths

    , Article Integration, the VLSI Journal ; Volume 50 , 2015 , Pages 193-204 ; 01679260 (ISSN) Modarressi, M ; Teimouri, N ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2015
    Abstract
    Abstract Reducing the NoC power is critical for scaling up the number of nodes in future many-core systems. Most NoC designs adopt packet-switching to benefit from its high throughput and excellent scalability. These benefits, however, come at the price of the power consumption and latency overheads of routers. Circuit-switching, on the other hand, enjoys a significant reduction in power and latency of communication by directing data over pre-established circuits, but the relatively large circuit setup time and low resource utilization of this switching mechanism is often prohibitive. In this paper, we address one of the major problems of circuit-switching, i.e. the circuit setup time...