Loading...
Search for: non-volatile-memory
0.019 seconds
Total 41 records

    Emerging non-volatile memory technologies for future low power reconfigurable systems

    , Article 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, ReCoSoC ; 26-28 May , 2014 , pp. 1-2 ; 9781479958108 Ahari, A ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    Non-volatile memory (NVM) technologies are promising alternatives to traditional CMOS memory technologies. While NVMs were primarily studied to be used in the memory hierarchy, they can also provide benefits in reconfigurable systems such as Field-Programmable Gate Arrays (FPGAs). In this paper, we investigate the applicability of different NVM technologies for the configuration bits of FPGAs and propose a power-efficient reconfigurable architecture based on Phase Change Memory (PCM). Quantitative analysis for various FPGA architectures using different memory technologies shows the benefits of the proposed scheme  

    WIPE: wearout informed pattern elimination to improve the endurance of NVM-based caches

    , Article Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 16 January 2017 through 19 January 2017 ; 2017 , Pages 188-193 ; 9781509015580 (ISBN) Asadi, S ; Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    With the recent development in Non-Volatile Memory (NVM) technologies, several studies have suggested using them as an alternative to SRAMs in on-chip caches. However, limited endurance of NVMs is a major challenge when employed in the caches. This paper proposes a data manipulation technique, so-called Wearout Informed Pattern Elimination (WIPE), to improve the endurance of NVM-based caches by reducing the activity of frequent data patterns. Simulation results show that WIPE improves the endurance by up to 93% with negligible overheads. © 2017 IEEE  

    Performance and Power-Efficient Design of Non-Volatile Shared Caches in Multi-Core Systems

    , M.Sc. Thesis Sharif University of Technology Shafahi, Mohammad Hassan (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    Emerging memory technologies such as STT-RAM, PCM and resistive RAM are probable technologies for caches and main memories of the future multi-core architectures. This is because of their high density, low leakage current and non-volatility. Nevertheless, the overhead of latency and energy consumption of write operation in these technologies are the main open problems. Previous works have suggested various solutions, in architecture and circuit levels, to reduce the writing overheads. In this research, we study the integration of STT-RAM in 3-dimensional multi-core environments; and propose solutions to address the problem of writing overheads when using this technology in cache... 

    Design and Evaluation of an Efficient Cache Memory Used in Solid-State Disk Drives

    , M.Sc. Thesis Sharif University of Technology Haghdoost, Alireza (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    In the past two decades, there has been a significant performance enhancement in processors by leveraging nano-scale semiconductor technologies and micro-architectural techniques. At the same time, there has been a limited performance improvement in storage devices. This performance gap results in a performance bottleneck in computer systems. To fill this gap, Solid-State Disks (SSDs) has been proposed in the previous work. Due to not using mechanical parts, SSDs can provide higher performance and lower power consumption compared to hard disk drives. Typically, SSDs use flash memory chips to store user data. Flash memory has some shortcomings such as limited endurance and low write... 

    Application of Non-Volatile Memory Technogoies in Memory Hierarchy of CMPs

    , M.Sc. Thesis Sharif University of Technology Jadidi, Amin (Author) ; Sarbazi Azad, Hamid (Supervisor)
    Abstract
    In this paper, we propose a run-time strategy for managing writes onto last level cache in chip multiprocessors where STT-RAM memory is used as baseline technology. To this end, we assume that each cache set is decomposed into limited SRAM lines and large number of STT-RAM lines. SRAM lines are target of frequently-written data and rarely-written or read-only ones are pushed into STT-RAM. As a novel contribution, a low-overhead, fully-hardware technique is utilized to detect write-intensive data blocks of working set and place them into SRAM lines while the remaining data blocks are candidates to be remapped onto STT-RAM blocks during system operation. Therefore, the achieved cache... 

    Endurance-aware security enhancement in non-volatile memories using compression and selective encryption

    , Article IEEE Transactions on Computers ; Volume 66, Issue 7 , 2017 , Pages 1132-1144 ; 00189340 (ISSN) Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Abstract
    Emerging non-volatile memories (NVMs) are notable candidates for replacing traditional DRAMs. Although NVMs are scalable, dissipate lower power, and do not require refreshes, they face new challenges including shorter lifetime and security issues. Efforts toward securing the NVMs against probe attacks pose a serious downside in terms of lifetime. Cryptography algorithms increase the information density of data blocks and consequently handicap the existing lifetime enhancement solutions like Flip-N-Write. In this paper, based on the insight that compression can relax the constraints of lifetime-security trade-off, we propose CryptoComp, an architecture that, taking the advantage of block size... 

    Reducing writebacks through in-cache displacement

    , Article ACM Transactions on Design Automation of Electronic Systems ; Volume 24, Issue 2 , 2019 ; 10844309 (ISSN) Bakhshalipour, M ; Faraji, A ; Vakil Ghahani, S. A ; Samandi, F ; Lotfi Kamran, P ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2019
    Abstract
    Non-Volatile Memory (NVM) technology is a promising solution to fulfill the ever-growing need for higher capacity in the main memory of modern systems. Despite having many great features, however, NVM's poor write performance remains a severe obstacle, preventing it from being used as a DRAM alternative in the main memory. Most of the prior work targeted optimizing writes at the main memory side and neglected the decisive role of upper-level cache management policies on reducing the number of writes. In this article, we propose a novel cache management policy that attempts to maximize write-coalescing in the on-chip SRAM last-level cache (LLC) for the sake of reducing the number of costly... 

    Fast and predictable non-volatile data memory for real-time embedded systems

    , Article IEEE Transactions on Computers ; 2020 Bazzaz, M ; Hoseinghorban, A ; Ejlali, A ; Sharif University of Technology
    IEEE Computer Society  2020
    Abstract
    Energy consumption and predictability are two important constraints in designing real-time embedded systems and one of the recently proposed solutions for the energy consumption problem is the use of non-volatile memories due to their lower leakage power consumption. Furthermore, because of their non-volatile nature, the use of these memories helps normally-off computing and energy harvesting systems. However, the write access latency of non-volatile memories is considerably more than that of SRAM which can decrease the performance and predictability of the system. We present a predictable non-volatile data memory for real-time embedded systems which improves both worst-case execution time... 

    A High Performance MRAM Cell Through Single Free-Layer Dual Fixed-Layer Magnetic Tunnel Junction

    , Article IEEE Transactions on Magnetics ; Volume 58, Issue 12 , 2022 ; 00189464 (ISSN) Alibeigi, I ; Tabandeh, M ; Shouraki, S. B ; Patooghy, A ; Rajaei, R ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2022
    Abstract
    As technology size scales down, magnetic tunnel junctions (MTJs) as a promising technology are becoming more and more sensitive to process variation, especially in oxide barrier thickness. Process variation particularly affects the cell resistance and the critical switching current for the smaller dimensions. This article proposes an MTJ cell with one free and two pinned layers, which highly improves the process variation robustness. By employing the spin transfer torque (STT)-spin-Hall effect (SHE) switching method, our proposed MTJ cell improves the switching speed and lowers the switching power consumption. Per simulations, an MRAM cell built with the proposed MTJ cell offers up to 36%... 

    Wear-Leveling for NVM in Real-Time Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Vaez, Narges (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Embedded systems play an important role in many applications in various areas of human life. A large group of these systems are portable devices that have limited energy budget and therefore require considering the energy consumption in their design. Today, memories are responsible for a considerable portion of energy consumption in embedded systems, mainly because of their static leakage power consumption. Memories used in embedded systems are usually based on either SRAM (mostly used on-chip as cache or scratchpad memory) or DRAM (mostly used off-chip as main memory). The high leakage power of these memories (especially SRAM) is not negligible and hence has persuaded researchers to find... 

    A Reconfigurable Architecture Using Non-voltatile Memories

    , M.Sc. Thesis Sharif University of Technology Ahari, Ali (Author) ; Asadi, Hossein (Supervisor)
    Abstract
    In recent years, emerging Non-Volatile Memories (NVMs) have become promising alternatives for existing memory technologies. Due to shortcomings of SRAM memory in nanometer era,NVMs such as Phase-Change Memory (PCM) can be used in configuration memories of Field-Programmable Gate Arrays (FPGAs). Despite prominent features of emerging NVMs, they suffer from high write-power, high write-latency, and limited number of reliable write opera-tions. In addition, a dedicated Peripheral Circuit (PC) which is required to convert the NVM state to the equivalent voltage level can impose significant area and power overheads to FPGAs.In this thesis, a reliable power-efficient hybrid architecture employing... 

    A High-Performance and Power-Efficient Design of Memory Hierarchy in Multi-Core Systems Using Non-Volatile Technologies

    , Ph.D. Dissertation Sharif University of Technology Arjomand, Mohammad (Author) ; Sarbazi-Azad, Hamid (Supervisor)
    Abstract
    Ever increasing number of on-chip processors coupled with the trend towards rising memory footprints of the programs increases the demand for larger cache and main memory to hide the long latency of disk system. During the last three decades, SRAM- and DRAM-based memory successfully kept pace with this capacity demand by exponential reduction in cost per bit. Feedbacks from industry also confirms that entering sub-20nm technology era with dominant role of leakage power, however, SRAM and DRAM memories are confronting serious scalability and power limitations. To this end, researchers always pursuit some circuit-level and architectural proposals for incorporating non-volatile technologies in... 

    FTSPM: A fault-tolerant scratchpad memory

    , Article Proceedings of the International Conference on Dependable Systems and Networks ; 2013 , Page(s): 1 - 10 ; 9781467364713 (ISBN) Monazzah, A. M. H ; Farbeh, H ; Miremadi, S. G ; Fazeli, M ; Asadi, H ; Sharif University of Technology
    2013
    Abstract
    Scratch Pad Memory (SPM) is an important part of most modern embedded processors. The use of embedded processors in safety-critical applications implies including fault tolerance in the design of SPM. This paper proposes a method, called FTSPM, which integrates a multi-priority mapping algorithm with a hybrid SPM structure. The proposed structure divides SPM into three parts: 1) a part is equipped with Non-Volatile Memory (NVM) which is immune against soft errors, 2) a part is equipped with Error-Correcting Code, and 3) a part is equipped with parity. The proposed mapping algorithm is responsible to distribute the program blocks among the above three parts with regards to their vulnerability... 

    Captopril: Reducing the pressure of bit flips on hot locations in non-volatile main memories

    , Article Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, 14 March 2016 through 18 March 2016 ; 2016 , Pages 1116-1119 ; 9783981537062 (ISBN) Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    High static power consumption and insufficient scalability of the commonly used DRAM main memory technology appear to be tough challenges in upcoming years. Hence, adopting new technologies, i.e. non-volatile memories (NVMs), is a proper choice. NVMs tolerate a low number of write operations while having good scalability and low static power consumption. Due to the non-destructive nature of a read operation and the long latency of a write operation in NVMs, designers use read-before-write (RBW) mechanism to mask the unchanged bits during write operation in order to reduce bit flips. Based on this observation that some specific locations of blocks are responsible for the majority of bit... 

    Sequoia: A high-endurance NVM-Based cache architecture

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Volume 24, Issue 3 , 2016 , Pages 954-967 ; 10638210 (ISSN) Jokar, M. R ; Arjomand, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    Emerging nonvolatile memory technologies, such as spin-transfer torque RAM or resistive RAM, can increase the capacity of the last-level cache (LLC) in a latency and power-efficient manner. These technologies endure 109 - 1012 writes per cell, making a nonvolatile cache (NV-cache) with a lifetime of dozens of years under ideal working conditions. However, nonuniformity in writes to different cache lines considerably reduces the NV-cache lifetime to a few months. Writes to cache lines can be made uniformly by wear-leveling. A suitable wear-leveling for NV-cache should not incur high storage and performance overheads. We propose a novel, simple, and effective wear-leveling technique with... 

    Fast write operations in non-volatile memories using latency masking

    , Article CSI International Symposium on Real-Time and Embedded Systems and Technologies, RTEST 2018, 9 May 2018 through 10 May 2018 ; 2018 , Pages 1-7 ; 9781538614754 (ISBN) Hoseinghorban, A ; Bazzaz, M ; Ejlali, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Energy consumption is an important issue in designing embedded systems and the emerging Internet of Things (IoT). The use of non-volatile memories instead of SRAM in these systems improves their energy consumption since non-volatile memories consume much less leakage power and provide better capacity given the same die area as SRAM. However, this can impose significant performance overhead because the write operation latency of non-volatile memories is more than that of SRAM. In this paper we presented an NVM-based data memory architecture for embedded systems which improves the performance of the system at the cost of a slight energy consumption overhead. The architecture employs... 

    AdAM: adaptive approximation management for the non-volatile memory hierarchies

    , Article 018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018; International Congress Center DresdenDresden ; Volume 2018-January , April , 2018 , Pages 785-790 ; 9783981926316 (ISBN) Teimoori, M. T ; Hanif, M. A ; Ejlali, A ; Shafique, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    Existing memory approximation techniques focus on employing approximations at an individual level of the memory hierarchy (e.g., cache, scratchpad, or main memory). However, to exploit the full potential of approximations, there is a need to manage different approximation knobs across the complete memory hierarchy. Towards this, we model a system including STT-RAM scratchpad and PCM main memory with different approximation knobs (e.g., read/write pulse magnitude/duration) in order to synergistically trade data accuracy for both STT-RAM access delay and PCM lifetime by means of an integer linear programming (ILP) problem at design-time. Furthermore, a runtime algorithm is proposed to... 

    Investigating the effects of process variations and system workloads on endurance of non-volatile caches

    , Article 13th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, DFT 2017, 23 October 2017 through 25 October 2017 ; Volume 2018-January , 2018 , Pages 1-6 ; 9781538603628 (ISBN) Hosseini Monazzah, A. M ; Farbeh, H ; Miremadi, S. G ; Cadence; IEEE; IEEE Computer Society; IEEE Fault-Tolerant Computing Technical Committee; IEEE Test Technology Technical Council (TTTC) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2018
    Abstract
    With the development of Non-Volatile Memory (NVM) technologies in recent years, several studies suggest using them as an alternative for SRAMs in on-chip caches. One of the main challenges in replacing SRAMs with NVMs is limited endurance of NVMs (i.e. the maximum allowed number of write operations in an NVM cell). The endurance of NVM caches is directly affected not only by workload behaviors, but also by process variations (PVs). Several studies characterized the endurance of NVM caches but they do not consider the simultaneous effects of the PVs and the workloads. In this paper, we propose a high-level framework to investigate the endurance of NVM caches affected by the per-cell endurance... 

    An analytical model for performance and lifetime estimation of hybrid DRAM-NVM main memories

    , Article IEEE Transactions on Computers ; Volume 68, Issue 8 , 2019 , Pages 1114-1130 ; 00189340 (ISSN) Salkhordeh, R ; Mutlu, O ; Asadi, H ; Sharif University of Technology
    IEEE Computer Society  2019
    Abstract
    Emerging Non-Volatile Memories (NVMs) have promising advantages (e.g., lower idle power, higher density, and non-volatility) over the existing predominant main memory technology, DRAM. Yet, NVMs also have disadvantages (e.g., longer latencies, higher active power, and limited endurance). System architects are therefore examining hybrid DRAM-NVM main memories to enable the advantages of NVMs while avoiding the disadvantages as much as possible. Unfortunately, the hybrid memory design space is very large and complex due to the existence of very different types of NVMs and their rapidly-changing characteristics. Therefore, optimization of performance and lifetime of hybrid memory based... 

    Sleepy-LRU: extending the lifetime of non-volatile caches by reducing activity of age bits

    , Article Journal of Supercomputing ; Volume 75, Issue 7 , 2019 , Pages 3945-3974 ; 09208542 (ISSN) Ghaemi, S. G ; Ahmadpour, I ; Ardebili, M ; Farbeh, H ; Sharif University of Technology
    Springer New York LLC  2019
    Abstract
    Emerging non-volatile memories (NVMs) are known as promising alternatives to SRAMs in on-chip caches. However, their limited write endurance is a major challenge when NVMs are employed in these highly frequently written caches. Early wear-out of NVM cells makes the lifetime of the caches extremely insufficient for nowadays computational systems. Previous studies only addressed the lifetime of data part in the cache. This paper first demonstrates that the age bits field of the cache replacement algorithm is the most frequently written part of a cache block and its lifetime is shorter than that of data part by more than 27×. Second, it investigates the effect of age bits wear-out on the cache...