Loading...
Search for: electric-power-utilization
0.016 seconds
Total 169 records

    Reconfigurable multicast routing for Networks on Chip

    , Article Microprocessors and Microsystems ; Volume 42 , 2016 , Pages 180-189 ; 01419331 (ISSN) Nasiri, F ; Sarbazi Azad, H ; Khademzadeh, A ; Sharif University of Technology
    Elsevier 
    Abstract
    Several unicast and multicast routing protocols have been presented for MPSoCs. Multicast protocols in NoCs are used for cache coherency in distributed shared memory systems, replication, barrier synchronization, or clock synchronization. Unicast routing algorithms are not suitable for multicast, as they increase traffic, congestion and deadlock probability. Famous multicast schemes such as tree-based and path-based schemes have been proposed originally for multicomputers and recently adapted to NoCs. In this paper, we propose a switch tree-based multicast scheme, called STBA. This method supports tree construction with a minimum number of routers. Our evaluation results reveal that, for... 

    An operating system level data migration scheme in hybrid DRAM-NVM memory architecture

    , Article Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, 14 March 2016 through 18 March 2016 ; 2016 , Pages 936-941 ; 9783981537062 (ISBN) Salkhordeh, R ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    With the emergence of Non-Volatile Memories (NVMs) and their shortcomings such as limited endurance and high power consumption in write requests, several studies have suggested hybrid memory architecture employing both Dynamic Random Access Memory (DRAM) and NVM in a memory system. By conducting a comprehensive experiments, we have observed that such studies lack to consider very important aspects of hybrid memories including the effect of: a) data migrations on performance, b) data migrations on power, and c) the granularity of data migration. This paper presents an efficient data migration scheme at the Operating System level in a hybrid DRAM-NVM memory architecture. In the proposed... 

    Captopril: Reducing the pressure of bit flips on hot locations in non-volatile main memories

    , Article Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, DATE 2016, 14 March 2016 through 18 March 2016 ; 2016 , Pages 1116-1119 ; 9783981537062 (ISBN) Jalili, M ; Sarbazi Azad, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    High static power consumption and insufficient scalability of the commonly used DRAM main memory technology appear to be tough challenges in upcoming years. Hence, adopting new technologies, i.e. non-volatile memories (NVMs), is a proper choice. NVMs tolerate a low number of write operations while having good scalability and low static power consumption. Due to the non-destructive nature of a read operation and the long latency of a write operation in NVMs, designers use read-before-write (RBW) mechanism to mask the unchanged bits during write operation in order to reduce bit flips. Based on this observation that some specific locations of blocks are responsible for the majority of bit... 

    Thermal and power aware task mapping on 3D Network on Chip

    , Article Computers and Electrical Engineering ; Volume 51 , 2016 , Pages 157-167 ; 00457906 (ISSN) Mosayyebzadeh, A ; Mehdizadeh Amiraski, A ; Hessabi, S ; Sharif University of Technology
    Elsevier Ltd 
    Abstract
    High integration and increased elements density in 3D Network on Chip (NoC) will cause more energy consumption and high temperature on chip. By mapping those tasks that have data communication between them to near cores, the communication delay and therefore, power consumption will be reduced. In addition, mapping the tasks to cores that are near the heat sink, in such a way that the generated heat is distributed indiscriminately all over the chip, will decrease maximum chip temperature. In this paper, we propose a task mapping method based on fuzzy logic that aims to alleviate power and thermal problems in 3D-NoCs. In this method, the weight of task mapping factors can be changed according... 

    Low-power technique for dynamic comparators

    , Article Electronics Letters ; Volume 52, Issue 7 , 2016 , Pages 509-511 ; 00135194 (ISSN) Khorami, A ; Sharifkhani, M ; Sharif University of Technology
    Institution of Engineering and Technology 
    Abstract
    A low-power technique to reduce the power consumption of the dynamic comparators is presented. Using this technique, the pre-ampli-fication phase of the comparator is stopped without any effect on the dynamic behaviour of the comparator. Therefore, the power consumption of the pre-amplifier stage which is the main part of the total power consumption is reduced significantly. Simulation results in various comparators reveal that the proposed technique reduces the total power consumption by more than 50%  

    Mobility aware distributed topology control in mobile ad-hoc networks using mobility pattern matching

    , Article WiMob 2009 - 5th IEEE International Conference on Wireless and Mobile Computing Networking and Communication, 12 October 2009 through 14 October 2009, Marrakech ; 2009 , Pages 453-458 ; 9780769538419 (ISBN) Khaledi, MH ; Mousavi, S. M ; Rabiee, H. R ; Movaghar, A ; Khaledi, MJ ; Ardakanian, O ; Sharif University of Technology
    Abstract
    Topology control algorithms in mobile ad-hoc networks aim to reduce the power consumption while keeping the topology connected. These algorithms can preserve network resources and increase network capacity. However, few efforts have focused on the issue of topology control in presence of node mobility. One of the notable mobility aware topology control protocols is the "Mobility Aware Distributed Topology Control Protocol". The main drawback of this protocol is on its mobility prediction method. This prediction method assumes linear movements and is unable to cope with sudden changes in the mobile node movements. In this paper, we propose a pattern matching based mobility prediction method... 

    An energy efficient target tracking scheme for distributed wireless sensor networks

    , Article Proceedings of the 2009 6th International Symposium on Wireless Communication Systems, ISWCS'09, 7 September through 10 September ; 2009 , Pages 136-140 ; 9781424435845 (ISBN) Jamali Rad, H ; Abolhassani, B ; Abdizadeh, M ; Sharif University of Technology
    Abstract
    We study the problem of power optimization for object tracking using distributed Wireless Sensor Networks (WSNs). The accuracy of the object tracking is dependent on the tracking time interval. Smaller tracking time interval increases the accuracy of tracking a moving object. However, this increases the power consumption significantly. This paper proposes a modified adaptive sleep time management scheme called Modified Predict and Mesh (MPaM) to adapt tracking time interval such that it minimizes power consumption while keeping an acceptable tracking accuracy. Also a quantitative analysis to compare the performances of the conventional PaM and proposed Modified PaM (MPaM) schemes is... 

    Run-time adaptive power-aware reliability management for many-cores

    , Article IEEE Design and Test ; 2017 ; 21682356 (ISSN) Salehi, M ; Ejlali, A ; Shafique, M ; Sharif University of Technology
    Abstract
    Escalating reliability threats and performance issues due to process variations under the tight power envelopes of multi- /many-core chips challenge the cost-effective deployment of future technology nodes. We propose an adaptive run-time system that synergistically integrates heterogeneous hardening modes at both hardware and software levels, and selects appropriate hardening modes for concurrently executing applications under total chip power budget and timing constraints, while optimizing for reliability. To enable a high level of adaptability, we perform a comprehensive analysis of various design tradeoffs and study the impact of hardware/software hardening modes in terms of achieved... 

    Hierarchical stochastic models for performance, availability, and power consumption analysis of iaaS clouds

    , Article IEEE Transactions on Cloud Computing ; 2017 ; 21687161 (ISSN) Ataie, E ; Entezari Maleki, R ; Rashidi, L ; Trivedi, K. S ; Ardagna, D ; Movaghar, A ; Sharif University of Technology
    Abstract
    Infrastructure as a Service (IaaS) is one of the most significant and fastest growing fields in cloud computing. To efficiently use the resources of an IaaS cloud, several important factors such as performance, availability, and power consumption need to be considered and evaluated carefully. Evaluation of these metrics is essential for cost-benefit prediction and quantification of different strategies which can be applied to cloud management. In this paper, analytical models based on Stochastic Reward Nets (SRNs) are proposed to model and evaluate an IaaS cloud system at different levels. To achieve this, an SRN is initially presented to model a group of physical machines which are... 

    Flexibility scheduling for large customers

    , Article IEEE Transactions on Smart Grid ; 2017 ; 19493053 (ISSN) Angizeh, F ; Parvania, M ; Fotuhi Firuzabad, M ; Rajabi Ghahnavieh, A ; Sharif University of Technology
    Abstract
    Large customers are considered as major flexible electricity demands which can reduce their electricity costs by choosing appropriate strategies to participate in demand response programs. However, practical methods to aid the large customers for handling the complex decision making process for participating in the programs have remained scarce. This paper proposes a novel decision-making tool for enabling large customers to determine how they adjust their electricity usage from normal consumption patterns in expectation of gaining profit in response to changes in prices and incentive payments offered by the system operators. The proposed model, formulated as a mixed-integer linear... 

    Performance and power modeling and evaluation of virtualized servers in IaaS clouds

    , Article Information Sciences ; Volume 394-395 , 2017 , Pages 106-122 ; 00200255 (ISSN) Entezari Maleki, R ; Sousa, L ; Movaghar, A ; Sharif University of Technology
    Elsevier Inc  2017
    Abstract
    In this paper, Stochastic Activity Networks (SANs) are exploited to model and evaluate the power consumption and performance of virtualized servers in cloud computing. The proposed SAN models the physical servers in three different power consumption and provisioning delay modes, switching the status of the servers according to the workload of the corresponding cluster if required. The Dynamic Voltage and Frequency Scaling (DVFS) technique is considered in the proposed model for dynamically controlling the supply voltage and clock frequency of CPUs. Thus, Virtual Machines (VMs) on top a physical server can be divided into several power consumption and processing speed groups. According to the... 

    Excess power elimination in high-resolution dynamic comparators

    , Article Microelectronics Journal ; Volume 64 , 2017 , Pages 45-52 ; 00262692 (ISSN) Khorami, A ; Sharifkhani, M ; Sharif University of Technology
    Elsevier Ltd  2017
    Abstract
    In this paper, a method is presented to reduce the power consumption of the two-stage dynamic comparators. In the two-stage dynamic comparators, the first stage (pre-amplifier stage) amplifies the input differential voltage. Then the second stage (latch stage) is activated and finishes the comparison. When the comparison is about to finish, the balance of the positive feedback of the latch stage tends to tilt toward one of the outputs; after this, to the end of the comparison, there is no need for additional pre-amplification gain which causes excess power consumption. In this paper, a method is proposed to eliminate this part of power consumption. It is shown that while reducing the power... 

    A power gating switch box architecture in routing network of SRAM-based FPGAs in dark silicon era

    , Article 20th Design, Automation and Test in Europe, DATE 2017, 27 March 2017 through 31 March 2017 ; 2017 , Pages 1342-1347 ; 9783981537093 (ISBN) Seifoori, Z ; Khaleghi, B ; Asadi, H ; ACM Special Interest Group on Design Automation (ACM SIGDA); Electronic System Design Alliance (ESDA); et al.; European Design and Automation Association (EDAA); European Electronic Chips and Systems Design Initiative (ECSI); IEEE Council on Electronic Design Automation (CEDA) ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    Continuous down scaling of CMOS technology in recent years has resulted in exponential increase in static power consumption which acts as a power wall for further transistor integration. One promising approach to throttle the substantial static power of Field-Programmable Gate Array (FPGAs) is to power off unused routing resources such as switch boxes, known as dark silicon. In this paper, we present a Power gating Switch Box Architecture (PESA) for routing network of SRAM-based FPGAs to overcome the obstacle for further device integration. In the proposed architecture, by exploring various patterns of used multiplexers in switch boxes, we employ a configurable controller to turn off unused... 

    An efficient numerical-based crosstalk avoidance codec design for NoCs

    , Article Microprocessors and Microsystems ; Volume 50 , 2017 , Pages 127-137 ; 01419331 (ISSN) Shirmohammadi, Z ; Mozafari, F ; Miremadi, S .G ; Sharif University of Technology
    Elsevier B.V  2017
    Abstract
    With technology scaling, crosstalk fault has become a serious problem in reliable data transfer through Network on Chip (NoC) channels. The effects of crosstalk fault depend on transition patterns appearing on the wires of NoC channels. Among these patterns, Triplet Opposite Direction (TOD) imposes the worst crosstalk effects. Crosstalk Avoidance Codes (CACs) are the overhead-efficient mechanisms to tackle TODs. The main problem of CACs is their high imposed overheads to NoC routers. To solve this problem, this paper proposes an overhead-efficient coding mechanism called Penultimate-Subtracted Fibonacci (PS-Fibo) to alleviate crosstalk faults in NoC wires. PS-Fibo coding mechanism benefits... 

    A high-speed method of dynamic comparators for sar analog to digital converters

    , Article 59th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2016, 16 October 2016 through 19 October 2016 ; 2017 ; 15483746 (ISSN); 9781509009169 (ISBN) Khorami, A ; Sharifkhani, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    A low-power high-speed two-stage dynamic comparator is presented. The voltage fluctuation at the first stage of the comparator (pre-Amplifier stage) is limited to V dd=2. Therefore, the power consumption of the first stage which is the dominant part of the total power consumption is reduced. The output voltage of the first stage is kept above V dd=2. As a result, during the comparison the second stage of the comparator (latch) is activated stronger compared to the conventional comparator. To prove the benefits of the proposed comparator, the proposed and the other circuits are simulated in the equal budget of power and offset. Simulation results prove that the proposed comparator is faster... 

    A low power high resolution time to digital converter for ADPLL application

    , Article 59th IEEE International Midwest Symposium on Circuits and Systems, MWSCAS 2016, 16 October 2016 through 19 October 2016 ; 2017 ; 15483746 (ISSN); 9781509009169 (ISBN) Molaei, H ; Hajsadeghi, K ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2017
    Abstract
    A new nonlinear Time to Digital Converter (TDC) based on time difference amplification is the proposed. A new gain compensation method is presented to expand the DR of conventional × 2 Time Amplifiers (TAs). Instead of conventional gain compensation approach based on changing strength of current sources, the proposed technique uses current difference which results more stable gain over wider DR. In order to avoid two different paths of the stages, a sign bit detection part is the proposed at the front of the TDC to allow using one path of stages for both positive and negative input time differences. As a result, the most advantages of the proposed TDC are its high resolution, wide DR, and... 

    An ultra low-power DAC with fixed output common mode voltage

    , Article AEU - International Journal of Electronics and Communications ; Volume 96 , 2018 , Pages 279-293 ; 14348411 (ISSN) Khorami, A ; Saeidi, R ; Sharifkhani, M ; Sharif University of Technology
    Elsevier GmbH  2018
    Abstract
    A novel structure of Capacitive Digital to Analog Converters (CDAC) for Successive Approximation Register Analog to Digital Converters (SAR ADC) is presented. In this CDAC, a number of pre-charged capacitors are placed in different series configurations to produce a desired voltage level. Therefore, given an input code, a series configuration of the capacitors is created to produce a voltage. Current is drawn from the supply voltage only in one step of the ADC conversion to reduce the power consumption. Therefore, the proposed CDAC consumes a fixed and small amount of power regardless of the input code. The output common mode voltage (Vcm) of the DAC remains fixed for all the digital codes.... 

    An efficient and low power one-lambda crosstalk avoidance code design for network on chips

    , Article Microprocessors and Microsystems ; Volume 63 , 2018 , Pages 36-45 ; 01419331 (ISSN) Shirmohammadi, Z ; Mahdavi, Z ; Sharif University of Technology
    Abstract
    Crosstalk faults occurring in wires of Networks on Chip (NoCs) can seriously threaten the reliability of data transfer. One efficient way to tackle crosstalk faults is numeral-based Crosstalk Avoidance Codes (CACs). Numeral-based CACs reduce crosstalk faults by preventing specific transition patterns to occur. One-Lambda Codes (OLCs) are the most efficient types of CACs. However, the codec of OLCs imposes overheads including power consumption, critical path and area occupation to the routers of NoCs. To find overhead-efficient OLCs, this paper proposes an Algorithm for Generating OLC Numeral systems (AGON). AGON provides a tradeoff for designers in selecting overhead-efficient OLCs. Using... 

    Run-Time adaptive power-aware reliability management for manycores

    , Article IEEE Design and Test ; Volume 35, Issue 5 , 2018 , Pages 36-44 ; 21682356 (ISSN) Salehi, M ; Ejlali, A ; Shafique, M ; Sharif University of Technology
    IEEE Computer Society  2018
    Abstract
    Editor's note: Due to increasing process, voltage, and temperature (PVT) variability, reliability is becoming a growing worry. This article addresses this concern with a combination of software and hardware hardening modes while considering power, performance, and overhead constraints. Similar to other examples in this special issue, this work illustrates that complex management tasks that have to integrate multiple objectives, goals, and constraints require a comprehensive understanding of the system's state. - Axel Jantsch, TU Wien - Nikil Dutt, University of California at Irvine. © 2013 IEEE  

    A low-power technique for high-resolution dynamic comparators

    , Article International Journal of Circuit Theory and Applications ; Volume 46, Issue 10 , 2018 , Pages 1777-1795 ; 00989886 (ISSN) Khorami, A ; Sharifkhani, M ; Sharif University of Technology
    John Wiley and Sons Ltd  2018
    Abstract
    A low-power technique for high-resolution comparators is introduced. In this technique, p-type metal-oxide-semiconductor field-effect transistors are employed as the input of the latch of the comparator just like the input of the preamplifier. The latch and preamplifier stages are activated in a special pattern using an inverter-based controller. Unlike the conventional comparator, the preamplification delay can be set to an optimum low value even if after the preamplification, the output voltages is less than n-channel metal-oxide semiconductor voltage threshold. As a result, the proposed comparator reduces the power consumption significantly and enhances the speed. The speed and power...