Loading...
Search for: error-detection
0.012 seconds

    Transient detection in COTS processors using software approach

    , Article Microelectronics Reliability ; Volume 46, Issue 1 , 2006 , Pages 124-133 ; 00262714 (ISSN) Rajabzadeh, A ; Miremadi, S. G ; Sharif University of Technology
    2006
    Abstract
    This paper presents a software-based error detection scheme called enhanced committed instructions counting (ECIC) for embedded and real-time systems using commercial off-the-shelf (COTS) processors. The scheme uses the internal performance monitoring features of a processor, which provides the ability to count the number of committed instructions in a program. To evaluate the ECIC scheme, 6000 software induced faults are injected into a 32-bit Pentium® processor. The results show that the error detection coverage varies between 90.52% and 98.18%, for different workloads. © 2004 Elsevier Ltd. All rights reserved  

    A checkpointing technique for rollback error recovery in embedded systems

    , Article 2006 International Conference on Microelectronics, ICM 2006, Dhahran, 16 December 2006 through 19 December 2006 ; 2006 , Pages 174-177 ; 1424407656 (ISBN); 9781424407651 (ISBN) Bashiri, M ; Miremadi, S. G ; Fazeli, M ; Arabian Consulting Engineering Center; Saudi Binladin Group; Advanced Electronics Company; HEIP ; Sharif University of Technology
    2006
    Abstract
    In this paper, a general Checkpointing technique for rollback error recovery for embedded systems is proposed and evaluated. This technique is independent of used processor and employs the most important feature in control flow error detection mechanisms to simplify checkpoint selection and to minimize the overall code overhead. In this way, during the implementation of a control flow checking mechanism, the checkpoints are added to the program. To evaluate the Checkpointing technique, a pre-processor is implemented that selects and adds the checkpoints to three workload programs running in an 8051 microcontroller -based system. The evaluation is based on 3000 experiments for each... 

    Experimental evaluation of three concurrent error detection mechanisms

    , Article 2006 International Conference on Microelectronics, ICM 2006, Dhahran, 16 December 2006 through 19 December 2006 ; 2006 , Pages 67-70 ; 1424407656 (ISBN); 9781424407651 (ISBN) Vahdatpour, A ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    2006
    Abstract
    This paper presents an experimental evaluation of the effectiveness of three hardware-based control flow checking mechanisms, using software-implemented fault injection (SWIFI) method. The fault detection technique uses reconfigurable of the shelf FPGAs to concurrently check the execution flow of the target program. The technique assigns signatures to the target program in the compile time and verifies the signatures using a FPGA as a watchdog processor to detect possible violation caused by the transient faults. A total of 3000 faults were injected in the experimental embedded system, which is based on an 8051 microcontroller, to measure the error detection coverage. The experimental... 

    Transient error detection in embedded systems using reconfigurable components

    , Article Industrial Embedded Systems - IES'2006, Antibes Juan-Les-Pins, 18 October 2006 through 20 October 2006 ; 2006 ; 142440777X (ISBN); 9781424407774 (ISBN) Vahdatpour, A ; Fazeli, M ; Miremadi, S. G ; Sharif University of Technology
    2006
    Abstract
    In this paper, a hardware control flow checking technique is presented and evaluated. This technique uses reconfigurable of the shelf FPGA in order to concurrently check the execution flow of the target micro processor. The technique assigns signatures to the main program in the compile time and verifies the signatures using a FPGA as a watchdog processor to detect possible violation caused by the transient faults. The main characteristic of this technique is its ability to be applied to any kind of processor architecture and platforms. The low imposed hardware and performance overhead by this technique makes it suitable for those applications in which cost is a major concern, such as... 

    A software-based error detection technique using encoded signatures

    , Article 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Arlington, VA, 4 October 2006 through 6 October 2006 ; 2006 , Pages 389-397 ; 15505774 (ISSN); 076952706X (ISBN); 9780769527062 (ISBN) Sedaghat, Y ; Miremadi, S. G ; Fazeli, M ; Sharif University of Technology
    2006
    Abstract
    In this Paper, a software-based control flow checking technique called SWTES (Software-based error detection Technique using Encoded Signatures) is presented and evaluated. This technique is processor independent and can be applied to any kind of processors and microcontrollers. To implement this technique, the program is partitioned to a set of blocks and the encoded signatures are assigned during the compile time. In the run-time, the signatures are compared with the expected ones by a monitoring routine. The proposed technique is experimentally evaluated on an ATMEL MCS51 microcontroller using Software Implemented Fault Injection (SWIFI). The results show that this technique detects about... 

    Reliable video transmission using codes close to the channel capacity

    , Article IEEE Transactions on Circuits and Systems for Video Technology ; Volume 16, Issue 12 , 2006 , Pages 1550-1556 ; 10518215 (ISSN) Dianat, R ; Marvasti, F ; Ghanbari, M ; Sharif University of Technology
    2006
    Abstract
    Long Reed-Solomon codes over the prime field GF(216 + 1) are proposed as a low overhead channel code for reliable transmission of video over noisy and lossy channels. The added redundancy is near optimal from the information theoretic point of view contrary to the conventionally used intra-coding and sync (marker) insertion in video transmission that are not justified theoretically. Compared to known source-channel coding methods, we have achieved the quality of the output of source coder by providing nearly error free transmission. (By nearly error free we mean an arbitrarily small error probability.) The price paid for such remarkable video quality improvement and relatively low complexity... 

    CFCET: A hardware-based control flow checking technique in COTS processors using execution tracing

    , Article Microelectronics Reliability ; Volume 46, Issue 5-6 , 2006 , Pages 959-972 ; 00262714 (ISSN) Rajabzadeh, A ; Miremadi, S. G ; Sharif University of Technology
    2006
    Abstract
    This paper presents a behavioral-based error detection technique called control flow checking by execution tracing (CFCET) to increase concurrent error detection capabilities of commercial off-the-shelf (COTS) processors. This technique traces the program jumps graph (PJG) at run-time and compares it with the reference jumps graph to detect possible violations caused by transient faults. The reference graph is driven by a preprocessor from the source program. The idea behind the CFCET is based on using an external watchdog processor (WDP) and also the internal execution tracing feature available in COTS processors to monitor the addresses of taken branches in a program, externally. This is... 

    Design and analysis of optimum distribution free OS-CFAR for non coherent radars

    , Article International Radar Symposium, IRS 2005, 6 September 2005 through 8 September 2005 ; Volume 2005-January , 2005 ; 21555753 (ISSN) Norouzi, Y ; Sheikhi, A ; Nayebi, M. M ; DLR; EADS; serco - bringing service to life; sms GmbH; Technische Universitat Hamburg-Harburg (TUHH) ; Sharif University of Technology
    IEEE Computer Society  2005
    Abstract
    In this paper, general form of optimum distribution free (D.F.) detector for noncoherent radars is extracted. This general form is very complex to be analyzed, therefore, we have derived two special cases and one case which is more interesting and practical is analyzed accurately. We have shown that in spite of its simple form, the detector has considerable benefits over conventional OSCFAR schemes  

    A software-based concurrent error detection technique for powerPC processor-based embedded systems

    , Article 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, DFT 2005, Monterey, CA, 3 October 2005 through 5 October 2005 ; 2005 , Pages 266-274 ; 15505774 (ISSN) Fazeli, M ; Farivar, R ; Miremadi, S. G ; Aitken R ; Ito H ; Metra C ; Park N ; Sharif University of Technology
    2005
    Abstract
    This paper presents a behavior-based error detection technique called Control Flow Checking using Branch Trace Exceptions for PowerPC processors family (CFCBTE). This technique is based on the branch trace exception feature available in the PowerPC processors family for debugging purposes. This technique traces the target addresses of program branches at run-time and compares them with reference target addresses to detect possible violations caused by transient faults. The reference target addresses are derived by a preprocessor from the source program. The proposed technique is experimentally evaluated on a 32-bit PowerPC microcontroller using software implemented fault injection (SWIFI).... 

    A hardware approach to concurrent error detection capability enhancement in COTS processors

    , Article 11th Pacific Rim International Symposium on Dependable Computing, PRDC 2005, Changsha, Hunan, 12 December 2005 through 14 December 2005 ; Volume 2005 , 2005 , Pages 83-90 ; 0769524923 (ISBN); 9780769524924 (ISBN) Rajabzadeh, A ; Miremadi, S. G ; Sharif University of Technology
    2005
    Abstract
    To enhance the error detection capability in COTS (commercial off-the-shelf) -based design of safety-critical systems, a new hardware-based control flow checking (CFC) technique will be presented. This technique, Control Flow Checking by Execution Tracing (CFCET), employs the internal execution tracing features available in COTS processors and an external watchdog processor (WDP) to monitor the addresses of taken branches in a program. This is done without any modification of application programs, therefore, the program overhead is zero. The external hardware overhead is about 3.5% using an Altera Flex 10K30 FPGA. For different workload programs, the execution time overhead and the error... 

    Reliability of protecting techniques used in fault-tolerant Cache memories

    , Article Canadian Conference on Electrical and Computer Engineering 2005, Saskatoon, SK, 1 May 2005 through 4 May 2005 ; Volume 2005 , 2005 , Pages 820-823 ; 08407789 (ISSN) Miremadi, S. G ; Zarandi, H. R ; Sharif University of Technology
    2005
    Abstract
    This paper analyzes the problem of transient-error recovery of several protecting techniques used in fault-tolerant cache memories. In this paper, reliability and mean-time-to-failure (MTTF) equations for several protecting techniques are derived and estimated. The results of the considered techniques are compared with those of cache memories without redundancies and with only parity codes in both tag and data arrays of caches. Depending on the error rate under which a cache memory will operate, and the size of the cache memory, one of the analyzed cases could be used. If the transient-error rate is very small or the size of cache memory is relatively small, then a protected with only single... 

    Error detection enhancement in COTS superscalar processors with performance monitoring features

    , Article Journal of Electronic Testing: Theory and Applications (JETTA) ; Volume 20, Issue 5 SPEC.ISS , 2004 , Pages 553-567 ; 09238174 (ISSN) Rajabzadeh, A ; Miremadi, S. G ; Mohandespour, M ; Sharif University of Technology
    2004
    Abstract
    Increasing use of commercial off-the-shelf (COTS) superscalar processors in industrial, embedded, and real-time systems necessitates the development of error detection mechanisms for such systems. This paper presents an error detection scheme called Committed Instructions Counting (CIC) to increase error detection in such systems. The scheme uses internal Performance Monitoring features and an external watchdog processor (WDP). The Performance Monitoring features enable counting the number of committed instructions in a program. The scheme is experimentally evaluated on a 32-bit Pentium® processor using software implemented fault injection (SWIFI). A total of 8181 errors were injected into... 

    Exception Fault Localization in Smart Mobile Applications

    , M.Sc. Thesis Sharif University of Technology Mirzaei, Hamed (Author) ; Heydarnoori, Abbas (Supervisor)
    Abstract
    In software programs, most of the time, there is a chance of error, even though they are tested carefully. Finding error-related pieces of code is one of the most complicated tasks and it can make incorrect results if done manually. Semi-automated and fully-automated methods have been introduced to overcome this issue. The rapid growth of developing smart mobile applications (SMAs) in recent years, competition among the development teams and many other factors have increased the chance of errors and hence, the quality of these applications have reduced. There are two approaches to test SMAs in order to reach a high degree of quality: (1) using existing traditional methods and adapting them... 

    Design and Implementation of Decoder and Encoder for Error Detecting and Correcting Algorithms for RF Links in Networks on Chip

    , M.Sc. Thesis Sharif University of Technology Sharifnia, Shahram (Author) ; Hesabi, Shaahin (Supervisor)
    Abstract
    In the upward trend of advancing technologies in chips manufacturing, utilizing Network on Chip (NOC) solutions is a sensible approach towards overcoming challenges in System on Chip (SOC). The most common form of NOC is the Wired NOC. The continuous physical size reduction of electronic circuits has led to bandwidth deficiency as well as increased temperature in various parts of these circuits. The vast advancement in chips manufacturing industry has made it possible to embed and adapt telecommunication equipment into chips, giving rise to Wireless NOC (WNOC) manufacturing. However, wireless communication increases fault rate; thereby, the system becomes more vulnerable against transient... 

    Evaluating the Energy Consumption of Fault-Detection Mechanisms in Embedded Systems

    , M.Sc. Thesis Sharif University of Technology Fakhraei, Mohammad (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Memories are one of the main component in the embedded systems, and owing to their vulnerability to error, this part of system must be fault tolerant. Single error correction (SEC) codes are one of the most commonly used methods against sot errors. However, on the other hands as the technology scales, multiple bit upsets (MBUs) are becoming more likely to occur in the memories and the SEC codes have lost their effectiveness in fault coverage. Therefore a greater attention is devoted to the codes with the higher fault coverage such as single error correction/double error detection (SEC/DED) codes. These codes increase the delay, area and power consumption overhead. These parameters are the... 

    Including Facilities in an Embedded Processor for External Watchdog Processors

    , M.Sc. Thesis Sharif University of Technology Khosravi, Faramarz (Author) ; Miremadi, Ghassem (Supervisor)
    Abstract
    The wide range of embedded processors and their reliance on nano-scale technologyhave brought them serious concerns on reliability, power consumption, timeliness and cost. Therefore, theseconcernsmust be addressed at the design process withemploying minimum facilities.This thesis proposes a low-cost concurrent error detection method based on control flow checking suitable for embedded processors. Most of the previous control flow checking methods either do not consider the embedded processors concerns, or they are not applicable to processors with on-chip cache memories.The key idea behind the proposed control flow checking method is to embed specific hardware components in the IP core of an... 

    Development Object Oriented Framework for Data Reconciliation of Chemical Processes

    , M.Sc. Thesis Sharif University of Technology Aghamir Mohammad Ali, Mohammad Ali (Author) ; Bozorgmehry Boozarjomehry, Ramin (Supervisor)
    Abstract
    In this study, in order to enhance data contaminated with random and gross errors, the implementation of data reconciliation technique on large-scale industrial unit was investigated. Data reconciliation results on the naphtha reformer unit, revealed that uncertainty in estimation of input and output reactor temperatures, decreased up to 2% in comparison with measured ones. In addition, uncertainties in estimation of the mass flow rates have declined by nearly 30%. Also, an object-oriented framework for plant wide data reconciliation was designed. This framework was designed as an extension of plant wide identification software developed previously. Moreover, adding five classes to the... 

    Fault-resilient lightweight cryptographic block ciphers for secure embedded systems

    , Article IEEE Embedded Systems Letters ; Vol. 6, issue. 4 , 2014 , pp. 89-92 ; ISSN: 19430663 Mozaffari Kermani, M ; Tian, K ; Azarderakhsh, R ; Bayat Sarmadi, S ; Sharif University of Technology
    Abstract
    The development of extremely-constrained embedded systems having sensitive nodes such as RFID tags and nanosensors necessitates the use of lightweight block ciphers. Nevertheless, providing the required security properties does not guarantee their reliability and hardware assurance when the architectures are prone to natural and malicious faults. In this letter, error detection schemes for lightweight block ciphers are proposed with the case study of XTEA (eXtended TEA). Lightweight block ciphers such as XTEA, PRESENT, SIMON, and the like might be better suited for low-resource deeply-embedded systems compared to the Advanced Encryption Standard. Three different error detection approaches... 

    PSP-Cache: A low-cost fault-tolerant cache memory architecture

    , Article Proceedings -Design, Automation and Test in Europe, DATE ; 2014 ; ISSN: 15301591 ; ISBN: 9783981537024 Farbeh, H ; Miremadi, S. G ; Sharif University of Technology
    Abstract
    Cache memories constitute a large fraction of processor chip area and are highly vulnerable to soft errors caused by energetic particles. To protect these memories, most of the modern processors employ Error Detection Codes (EDCs) or Error Correction Codes (ECCs). EDCs/ECCs impose significant overheads in terms of area and energy; these overheads increase as a function of interleaving EDCs/ECCs to detect/correct multiple errors. This paper proposes a new cache architecture to minimize the area and energy overheads of EDCs/ECCs in set-associative L1-caches. Simulation results for a 4-way set-associative cache show that the proposed architecture reduces both the area and static power overheads... 

    Reliable concurrent error detection architectures for extended euclidean-based division over (2m)

    , Article IEEE Transactions on Very Large Scale Integration (VLSI) Systems ; Vol. 22, Issue. 5 , 2014 , pp. 995-1003 Mozaffari-Kermani, M ; Azarderakhsh, R ; Lee, C. Y ; Bayat-Sarmadi, S ; Sharif University of Technology
    Abstract
    The extended Euclidean algorithm (EEA) is an important scheme for performing the division operation in finite fields. Many sensitive and security-constrained applications such as those using the elliptic curve cryptography for establishing key agreement schemes, augmented encryption approaches, and digital signature algorithms utilize this operation in their structures. Although much study is performed to realize the EEA in hardware efficiently, research on its reliable implementations needs to be done to achieve fault-immune reliable structures. In this regard, this paper presents a new concurrent error detection (CED) scheme to provide reliability for the aforementioned sensitive and...