Loading...

COACH: Consistency aware check-pointing for nonvolatile processor in energy harvesting systems

Hoseinghorban, A ; Sharif University of Technology | 2019

564 Viewed
  1. Type of Document: Article
  2. DOI: 10.1109/TETC.2019.2961007
  3. Publisher: IEEE Computer Society , 2019
  4. Abstract:
  5. Recently, energy harvesting systems that utilize hybrid NVM-SRAM memory in their designs are introduced as a promising alternative for battery-operated systems. Since the ambient input power of an energy harvesting system fluctuates as the environmental conditions change, the system may stop the execution of programs until it receives enough energy to continue the execution. Resuming the execution of a program after the suspension may lead to data inconsistency in an energy harvesting system and threatens the correct functionality of the programs. In this paper, we propose COACH, an energy-efficient consistency-aware memory scheme which guarantees the correct functionality and consistency of the program in an energy harvesting system. The experimental results show that COACH improves forward-progress of the programs in the system by up to 60% compared with the state of the art consistency-aware approaches without imposing considerable energy overhead to the system. IEEE
  6. Keywords:
  7. Data consistency ; Energy harvesting system ; Energy-efficiency ; Nonvolatile memory ; Energy efficiency ; Static random access storage ; Battery-operated systems ; Data inconsistencies ; Energy efficient ; Energy harvesting systems ; Environmental conditions ; Non-volatile memory ; State of the art ; Energy harvesting
  8. Source: IEEE Transactions on Emerging Topics in Computing ; 2019 ; 21686750 (ISSN)
  9. URL: https://ieeexplore.ieee.org/document/8936986