Loading...
Search for: reconfigurable-hardware
0.005 seconds
Total 78 records

    A wide dynamic range low power 2× time amplifier using current subtraction scheme

    , Article 2016 IEEE International Symposium on Circuits and Systems, ISCAS 2016, 22 May 2016 through 25 May 2016 ; Volume 2016-July , 2016 , Pages 462-465 ; 02714310 (ISSN); 9781479953400 (ISBN) Molaei, H ; Khorami, A ; Hajsadeghi, K ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2016
    Abstract
    The most challenging issue of conventional Time Amplifiers (TAs) is their limited Dynamic Range (DR). This paper presents a mathematical analysis to clarify principle of operation of conventional 2× TA's. The mathematical derivations release strength reduction of the current sources of the TA is the simplest way to increase DR. Besides, a new technique is presented to expand the Dynamic Range (DR) of conventional 2× TAs. Proposed technique employs current subtraction in place of changing strength of current sources using conventional gain compensation methods, which results in more stable gain over a wider DR. The TA is simulated using Spectre-rf in TSMC 0.18um COMS technology. DR of the 2×... 

    No-go theorem for iterations of unknown quantum gates

    , Article Physical Review A - Atomic, Molecular, and Optical Physics ; Volume 93, Issue 1 , 2016 ; 10502947 (ISSN) Soleimanifar, M ; Karimipour, V ; Sharif University of Technology
    American Physical Society 
    Abstract
    We propose a no-go theorem by proving the impossibility of constructing a deterministic quantum circuit that iterates a unitary oracle by calling it only once. Different schemes are provided to bypass this result and to approximately realize the iteration. The optimal scheme is also studied. An interesting observation is that for a large number of iterations, a trivial strategy like using the identity channel has the optimal performance, and preprocessing, postprocessing, or using resources like entanglement does not help at all. Intriguingly, the number of iterations, when being large enough, does not affect the performance of the proposed schemes  

    Design of Reconfigurable Hardware Security Module Based on Network Protocol Detection

    , M.Sc. Thesis Sharif University of Technology Zohouri, Hamid Reza (Author) ; Jahangir, Amir Hossein (Supervisor)
    Abstract
    Nowadays, in the presence of different types of computer attacks and different methods of eavesdropping on network communications, nobody can deny the importance of cryptography. Hardware Security Modules that are specifically designed for this purpose are widely used as a fast and reliable tool for encrypting data in computer networks. In this project, using the common and well-known FPGA platform and by leveraging the reconfigurability feature of this platform and also by adding a network protocol detection module to the traditional architecture of Hardware Security Modules, a novel module has been designed and implemented that can encrypt and decrypt data in a communication network, at... 

    Reducing the Energy Consumption of the Embedded Real-Time Systems with Reconfigurable Components

    , M.Sc. Thesis Sharif University of Technology Dastangoo, Ali (Author) ; Ejlali, Alireza (Supervisor)
    Abstract
    Over the Recent Decade, the embedded systems have expanded to include a wide variety of products, ranging from digital cameras, to medical systems, to Radar and telecommunication systems, to sensor networks. Engineers strive to create ever smaller and faster products, many of which, such as battery operated systems, have stringent power requirements. Coupled with increasing pressure to decrease costs and time-to-market, the design constraints of embedded systems pose a serious challenge to embedded systems designers. Dynamic reconfigurable hardware can provide a flexible and efficient platform for satisfying the area, performance, cost, and power requirements of many embedded systems.... 

    Traffic-aware buffer reconfiguration in on-chip networks

    , Article IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC, 5 October 2015 through 7 October 2015 ; Volume 2015-October , 2015 , Pages 201-206 ; 23248432 (ISSN) ; 9781467391405 (ISBN) Bashizade, R ; Sarbazi-Azad, H ; Sharif University of Technology
    IEEE Computer Society  2015
    Abstract
    Networks-on-Chip (NoCs) play a crucial role in the performance of Chip Multi-Processors (CMPs). Routers are one of the main components determining the efficiency of NoCs. As various applications have different communication characteristics and hence, buffering requirements, it is difficult to make proper decisions in this regard in the design time. In this paper, we propose a traffic-aware reconfigurable router which can adapt its buffers structure to the changes in the traffic of the network. Our proposed router manages to achieve up to 18.8% and 44.4% improvements in terms of postponing saturation rate under synthetic traffic patterns, and average packet latency for PARSEC applications,... 

    Compensation method for multistage opamps with high capacitive load using negative capacitance

    , Article IEEE Transactions on Circuits and Systems II: Express Briefs ; Volume 63, Issue 10 , 2016 , Pages 919-923 ; 15497747 (ISSN) Rasekh, A ; Sharif Bakhtiar, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    It is shown that negative capacitance (NC) circuits can be systematically used to improve the gain-bandwidth product of the operational amplifiers (opamps). The NC circuit moves the nondominant pole of the opamp to higher frequency by decreasing the parasitic capacitance of the critical node. The impedance at the input of the NC circuits is neither purely capacitive nor negative at all frequencies. A design guide is presented by deriving the circuit model for a conventional NC circuit and investigating the extent of the improvement that can be achieved in a circuit by the use of the NC circuit. The model is then used to present the design guide for widebanding the multistage opamps with... 

    Fast fault detection method for modular multilevel converter semiconductor power switches

    , Article IET Power Electronics ; Volume 9, Issue 2 , 2016 , Pages 165-174 ; 17554535 (ISSN) Haghnazari, S ; Khodabandeh, M ; Zolghadri, M. R ; Sharif University of Technology
    Institution of Engineering and Technology  2016
    Abstract
    This study proposes a new fault detection method for modular multilevel converter (MMC) semiconductor power switches. While in common MMCs, the cells capacitor voltages are measured directly for control purposes, in this study voltage measurement point changes to the cell output terminal improving fault diagnosis ability. Based on this measurement reconfiguration, a novel fault detection algorithm is designed for MMCs semiconductor power switches. The open circuit and short circuit faults are detected based on unconformity between modules output voltage and switching signals. Simulation and experimental results confirm accurate and fast operation of the proposed method in faulty cell... 

    Ultra-Sharp Transmission Resonances in Periodic Arrays of Graphene Ribbons in TE Polarization

    , Article Journal of Lightwave Technology ; Volume 34, Issue 3 , 2016 , Pages 1020-1024 ; 07338724 (ISSN) Khavasi, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    When illuminated by TM polarized waves, periodic arrays of graphene ribbons are known to exhibit plasmonic resonances due to their dual inductive-capacitive nature. It is demonstrated here that even in TE polarization, resonances can be observed in these structure. These resonances, which are of nonplasmonic origin, are explained by means of a circuit model. It is shown that, for a certain frequency range, arrays of graphene ribbons have both capacitive and inductive properties, which lead to an ultra-sharp inductor-capacitor resonance. The banw idth of this resonance can be as narrow as ∼0.0002 nm at a wavelength of 630 nm. The resonance can also be viewed as the grating excitation of a TE... 

    Towards dark silicon era in FPGAs using complementary hard logic design

    , Article Conference Digest - 24th International Conference on Field Programmable Logic and Applications, FPL 2014 ; Sept , 2014 , pp. 1 - 6 ; ISBN: 9783000446450 Ahari, A ; Khaleghi, B ; Ebrahimi, Z ; Asadi, H ; Tahoori, M. B ; Sharif University of Technology
    Abstract
    While the transistor density continues to grow exponentially in Field-Programmable Gate Arrays (FPGAs), the increased leakage current of CMOS transistors act as a power wall for the aggressive integration of transistors in a single die. One recently trend to alleviate the power wall in FPGAs is to turn off inactive regions of the silicon die, referred to as dark silicon. This paper presents a reconfigurable architecture to enable effective fine-grained power gating of unused Logic Blocks (LBs) in FPGAs. In the proposed architecture, the traditional soft logic is replaced with Mega Cells (MCs), each consists of a set of complementary Generic Reconfigurable Hard Logic (GRHL) and a conventional... 

    DsReliM: Power-constrained reliability management in Dark-Silicon many-core chips under process variations

    , Article International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2015, 4 October 2015 through 9 October 2015 ; Oct , 2015 , Pages 75-82 ; 9781467383219 (ISBN) Salehi, M ; Shafique, M ; Kriebel, F ; Rehman, S ; Tavana, M. K ; Ejlali, A ; Henkel, J ; ACM; IEEE ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Due to the tight power envelope, in the future technology nodes it is envisaged that not all cores in a many-core chip can be simultaneously powered-on (at full performance level). The power-gated cores are referred to as Dark Silicon. At the same time, growing reliability issues due to process variations and soft errors challenge the cost-effective deployment of future technology nodes. This paper presents a reliability management system for Dark Silicon chips (dsReliM) that optimizes for reliability of on-chip systems while jointly accounting for soft errors, process variations and the thermal design power (TDP) constraint. Towards the TDP-constrained reliability optimization, dsReliM... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; April , 2016 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the... 

    An efficient reconfigurable architecture by characterizing most frequent logic functions

    , Article 25th International Conference on Field Programmable Logic and Applications, FPL 2015, 2 September 2015 through 4 September 2015 ; Sept , 2015 , Page(s): 1 - 6 ; 9780993428005 (ISBN) Ahmadpour, I ; Khaleghi, B ; Asadi, H ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Generous flexibility of Look-Up Tables (LUTs) in implementing arbitrary functions comes with significant performance and area overheads compared with their Application-Specific Integrated Circuit (ASIC) equivalent. One approach to alleviate such overheads is to use less flexible logic elements capable to implement majority of logic functions. In this paper, we first investigate the most frequently used functions in standard benchmarks and then design a set of less-flexible but area-efficient logic cells, called Hard Logics (HL). Since higher input functions have diverse classes, we leverage Shannon decomposition to break them into smaller ones to either reduce the HL design space complexity... 

    DiskAccel: Accelerating disk-based experiments by representative sampling

    , Article Performance Evaluation Review, 15 June 2015 through 19 June 2015 ; Volume 43, Issue 1 , 2015 , Pages 297-308 ; 01635999 (ISSN) Tarihi, M ; Asadi, H ; Sarbazi Azad, H ; Sharif University of Technology
    Association for Computing Machinery  2015
    Abstract
    Disk traces are typically used to analyze real-life workloads and for replay-based evaluations. This approach benefits from capturing important details such as varying behavior patterns, bursty activity, and diurnal patterns of system activity, which are often missing from the behavior of workload synthesis tools. However, accurate capture of such details requires recording traces containing long durations of system activity, which are difficult to use for replay-based evaluation. One way of solving the problem of long storage trace duration is the use of disk simulators. While publicly available disk simulators can greatly accelerate experiments, they have not kept up with technological... 

    Design of mid-infrared ultra-wideband metallic absorber based on circuit theory

    , Article Optics Communications ; Volume 381 , 2016 , Pages 309-313 ; 00304018 (ISSN) Arik, K ; Abdollahramezani, S ; Farajollahi, S ; Khavasi, A ; Rejaei, B ; Sharif University of Technology
    Elsevier B.V  2016
    Abstract
    An ultra-broadband absorber of light is proposed by using periodic array of ultra-thin metallic ribbons on top of a lossless quarter-wavelength dielectric spacer placed on a metallic reflector. We propose a fully analytical circuit model for the structure, and then the absorber is duly designed based on the impedance matching concept. As a result, normalized bandwidth of 99.5% is realized by the proposed absorbing structure in mid-infrared regime. Performing a numerical optimization algorithm, we could also reach to normalized bandwidth of 103%  

    On the energy harvesting via doubly curved piezoelectric panels

    , Article Journal of Intelligent Material Systems and Structures ; Volume 27, Issue 19 , 2016 , Pages 2692-2706 ; 1045389X (ISSN) Sayyaadi, H ; Rahnama, F ; Sharif University of Technology
    SAGE Publications Ltd 
    Abstract
    This article presents an analytical solution for power output from a doubly curved piezoelectric energy harvester. The energy harvester is made of an elastic core layer coupled with one or two surface-bonded piezoelectric layers. Five mechanical equations of motion together with Gauss's equation are derived on the basis of first-order shell theory and solved simultaneously for simply supported mechanical boundary conditions. The influence of structural damping is taken into account using Rayleigh damping. The electromechanical frequency response functions that relate the power output and circuit load resistance are identified from the exact solutions. Finally, the performance of the system... 

    Design of LC Resonator for Low Phase Noise Oscillators

    , Article IEEE Transactions on Circuits and Systems I: Regular Papers ; Volume 63, Issue 2 , 2016 , Pages 169-180 ; 15498328 (ISSN) Moezzi, M ; Sharif Bakhtiar, M ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    The effects of resonator topology on the phase noise of LC oscillators are studied in this paper. It is shown that there is a neglected factor that can considerably influence the phase noise behavior of the oscillator. We designate this factor as the Inductance Energy Factor (IEF), which directly depends on the topology of the resonator. It is shown that through proper design of the resonator for a better IEF, the oscillator phase noise can be improved. It is also shown that by modifying the resonator structure to improve IEF, the phase noise does not have to be constrained by the minimum realizable inductance and its maximum quality factor. Therefore, the power-phase noise trade-off remains... 

    Performance analysis of carrier-less modulation schemes for wireless nanosensor networks

    , Article 15th IEEE International Conference on Nanotechnology, 27 July 2015 through 30 July 2015 ; 2015 , Pages 45-50 ; 9781467381550 (ISBN) Zarepour, E ; Hassan, M ; Chou, C. T ; Bayat, S ; Nanotechnology Council ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    Wireless Nano-scale Sensor Networks (WNSNs) are very simple and energy restricted networks that operate over terahertz band ranging from 0.1-10 THz, which faces significant molecular absorption noise and attenuation. Given these challenges, reliability, energy efficiency, and simplicity constitute the main criteria in designing communication protocols for WNSNs. Due to its simplicity and energy efficiency, carrier-less pulse based modulation is considered the best candidate for WNSNs. In this paper, we compare the performance of four different carrier-less modulations, PAM, OOK, PPM, and BPSK, in the context of WNSNs operating within the terahertz band. Our study shows that although BPSK is... 

    A fine-grained configurable cache architecture for soft processors

    , Article 18th CSI International Symposium on Computer Architecture and Digital Systems, 7 October 2015 through 8 October 2015 ; 2015 ; 9781467380232 (ISBN) Biglari, M ; Mirzazad Barijough, K ; Goudarzi, M ; Pourmohseni, B ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc 
    Abstract
    The ever increasing density and performance of FPGAS, has increased the importance and popularity of soft processors. The growing gap between the speed of processors and memories can partly be compensated through memory hierarchy. Since memory accesses follow a non-uniform distribution, and vary from one application to another, variable set-associative cache architectures have emerged. In this paper, a novel cache architecture, primarily aimed at soft processors, is proposed to address the variable access demands of applications, through dynamically configurable line-associativity, with no memory overhead. The FPGA implementation of the proposed architecture achieves an average miss count... 

    Development of a novel solution to enable integration and interoperability for cloud manufacturing

    , Article 6th International Conference on Changeable, September 2016 through 6 September 2016 ; Volume 52 , 2016 , Pages 6-11 ; 22128271 (ISSN) Delaram, J ; Fatahi Valilai, Omid ; Sharif University of Technology
    Elsevier B. V 
    Abstract
    Nowadays, manufacturing enterprises have been faced with a globalized competitive environment. In this fierce condition, Cloud Manufacturing paradigm emerged as a promising concept for competition. It provides effective solutions and tools for manufacturing enterprises to collaborate in globalized market. The revolution that Cloud Manufacturing has created is based on the redefinition of the classic methods to those which are appropriate for todays' modern and globalized manufacturing environments. In parallel with cloud-based revolution, the expansion of internet-based technologies has been started. These technologies previously have been applied in many fields and resulted in... 

    Power- and performance-efficient cluster-based network-on-chip with reconfigurable topology

    , Article Microprocessors and Microsystems ; Volume 46 , 2016 , Pages 122-135 ; 01419331 (ISSN) Mehrvarzy, P ; Modarressi, M ; Sarbazi Azad, H ; Sharif University of Technology
    Elsevier B.V  2016
    Abstract
    Topology is widely known as the most important characteristic of networks-on-chip (NoC), since it highly affects overall network performance, cost, and power consumption. In this paper, we propose a reconfigurable architecture and design flow for NoCs on which a customized topology for any target application can be implemented. In this structure, the nodes are grouped into some clusters interconnected by a reconfigurable communication infrastructure. The nodes inside a cluster are connected by a mesh to benefit from the interesting characteristics of the mesh topology, i.e. regular structure and efficient handling of local traffic. A reconfigurable inter-cluster topology then eliminates the...