Loading...
Search for: clocks
0.009 seconds
Total 86 records

    All-Optical Clock Recovery Using Nonlinear Kerr Effect in Optical Fibers

    , M.Sc. Thesis Sharif University of Technology Damani, Rasoul (Author) ; Salehi, Javad (Supervisor)
    Abstract
    In this thesis, a brief review of the previously introduced all optical clock recovery methods are presented and then, two novel all optical PLLs, based on nonlinear Kerr effects in fiber optics, are introduced and thoroughly investigated. To obtain more insight into the subject, firstly, nonlinear Kerr effect and its two main applications, including FOPA amplifiers and optical Kerr shutters are described. One of the proposed PLLs employs a FOPA in its error signal detection process, and the other is based on using two Kerr shutter switches to detect the error signal. Since the PLLs are based on the quasi-instantaneous Kerr effect, they are expected to be suitable in ultra-high speed... 

    Fully Digital Implementation and Optimization of Openhole Oil Well Surface Modem

    , M.Sc. Thesis Sharif University of Technology Shahbazi Dastgerdeh, Mehdi (Author) ; Movahedian, Hamid (Supervisor) ; Gholampour, Iman (Co-Supervisor)
    Abstract
    Once a well has been drilled before it is cased with the steels (open-hole well), some logging must be done to record information’s about layers, geologic formations, geophysical and petrophysical properties of well. All the information’s that gathered from sensors and tools transmitted to the surface by a down-hole modem. This information is corrupted during the transmission by the communication channel. The most notable influence of this channel is noise, attenuation and interference between successive data bits. On the other hand, the characteristic of channel changes with the length of the cable, temperature, connections and so on. So using a set of fixed filters is not effective. The... 

    Clock and Data Recovery Circuit For High Speed Serial Communication

    , M.Sc. Thesis Sharif University of Technology Mousavi, Hassan (Author) ; Hajsadeghi, Khosroo (Supervisor)
    Abstract
    In this thesis, A novel approach for ¼-rate clock Phase Detector (PD) structure for Phase Locked Loop (PLL)-based Clock and Data Recovery (CDR) is proposed. In this approach, the retimed data is generated within the circuit and no extra circuit is needed. Another advantage of this topology is that the error and reference signals are independent of delay time through gates and no extra replica circuit is needed to compensate the delay. This topology results in a lower power circuit and smaller area for high speed application compared to conventional topologies  

    Low power Clock and Data Recovery Circuits in 20Gb/s Range in CMOS Technology

    , M.Sc. Thesis Sharif University of Technology Parkalian, Nina (Author) ; Hajsadeghi, Khosrow (Supervisor)
    Abstract
    Growing demand for increased data transmission in communication systems and the internet, has intensified the need to increase the bandwidth of high speed transceivers. One of the main elements in high speed receivers is the clock and data recovery circuit which guarantees the transfer of data with high reliability. In this thesis, the design of a clock and data recovery circuit for high frequency applications is considered. The aim of this project is the design of a circuit with low power and low jitter for high-speed input data. A new four stage LC ring oscillator is designed that works at the quarter rate of the input. A new idea for the design of the binary phase detectors has also been... 

    Design of Clock and Data Recovery Circuits Inmulti Gb/s Range in CMOS Technology

    , M.Sc. Thesis Sharif University of Technology Jafarbeiki, Sara (Author) ; HajSadeghi, Khosrow (Supervisor)
    Abstract
    Some applications need fast locking clock and data recovery circuits for example the circuits that operate in burst mode must lock to the data packets which are transmitting from different transmitters very quickly and in just a few bit times. In such applications open-loop clock and data recovery circuits are used because lock time in closed-loop clock and data recovery circuits is usually much longer.
    In this thesis a new open loop clock and data recovery circuit based on injection locking method has been proposed. This circuit can be used in applications such as passive optical networks that need fast locking. In this architecture a super harmonic injection-locked frequency divider... 

    Statistical Analysis of Optical Clock Recovery System Based on Second Harmonic Generation (SHG) Detection Scheme in Optical Networks

    , M.Sc. Thesis Sharif University of Technology Ziyadi, Morteza (Author) ; Salehi, Javad (Supervisor)
    Abstract
    In this thesis, we statistically model and analyze one of the main systems in optical communications which are based on Second HarmonicGeneration (SHG) process. To this end, we first introduce various applications of this process in optical communication systems and see that the main application of this nonlinear process is in the process of optical clock recovery in both of OTDM and SPE_OCDMA networks. In the rest of the thesis, we characterize the mathematical structure of these systems and use that to statistically analyze their behavior. In considering the optical clock recovery system based on SHG, we introduce three intrinsic sources of timing jitter in the system, namely, the On-Off... 

    Clock and Data Recovery based on Phase Shifting and Accordion Oscillator

    , M.Sc. Thesis Sharif University of Technology Fatemi Mofrad, Ali (Author) ; Fotowat Ahmady, Ali (Supervisor) ; Akbar, Fatemeh (Supervisor)
    Abstract
    The continuous growth of network traffic and people's demand for higher data rates, have driven wireline communication systems towards higher data rates. In these systems, the power consumption of these transmitters and receivers is a crucial and influential factor. This paper presents two different solutions to reduce the power consumption and area of these systems. In the first solution, a low-power phase shifter with variable phase and amplitude control is introduced. The changes in these parameters are mutually orthogonal, ensuring that a change in one characteristic does not affect the others. This phase shifter can be used to generate clock pulses with different phases in wireline... 

    Design and Analysis of Low-Power VLSI Clock Distribution Networks, Considering Process Variations

    , M.Sc. Thesis Sharif University of Technology Novin, Mohammad (Author) ; Sarvari, Reza (Supervisor)
    Abstract
    The Technology scaling and reduction of the size of transistors has led to an increase in the switching speed and, as a result, to an increase in the clock frequency.But in recent years, the continuation of this process of increasing the clock frequency has been almost stopped considering the considerations of power consumption. Previous research has shown that approximately 30-50% of the dynamic power consumed by the microprocessor is wasted in the clock distribution network.Therefore, the design of clock distribution networks is a big challenge for future microprocessors due to the trend of Technology scaling and process variation. In this thesis, we used the emerging technology of... 

    Design and Fabrication of Analog to Digital Converter for On­ Chip Measurement in Industrial Applications in 180nm­-HV BCD CMOS Proccess

    , M.Sc. Thesis Sharif University of Technology Ghaedi Bardeh, Mohammad (Author) ; Medi, Ali (Supervisor)
    Abstract
    Increasing demand for digital systems has led to developing signal processing in the digital domain. For this purpose, Analog to Digital Converters is used to convert analog signals to digital ones. Apart from that, by decreasing the size of transistors, it has been possible to implement the system on a chip that needs communication between analog and digital signals. The designed converter in this project is suitable for instrumentation purposes and especially for use in the control loop of a DC­DC digitally voltage converter. ADC architectures are mainly classified into two groups attributed with high bandwidth or with high resolution. Nature of on­chip measurement requires high... 

    A Survey on the Notion of Time in Quantum Mechanics from both Theoretical and Experimental Perspectives

    , M.Sc. Thesis Sharif University of Technology Triverdi, Javad (Author) ; Shafiee, Afshin (Supervisor)
    Abstract
    This project examines time in quantum mechanics, which includes three chapters. The first chapter is about clocks, which first deals with the history and invention of clocks, and then goes on to describe quantum clocks and various theories about them, including Perez and Faraday quantum clocks . The second chapter deals with the two-slit Yang experiments which, as in the preceding chapter, we first give a historical description of the experiment, and we will continue with our description. We consider that for each of these cases the probability of spatial and linear momentum interference is calculated. The third chapter is the final chapter. In this section, we first discuss the concept of... 

    A Low-power clock generator with a wide frequency tuning range and low temperature variation: analysis and design

    , Article Journal of Circuits, Systems and Computers ; Volume 29, Issue 1 , 2020 Fazel, Z ; Shokrekhodaei, M ; Atarodi, M ; Sharif University of Technology
    World Scientific Publishing Co. Pte Ltd  2020
    Abstract
    This paper presents a quadrature-clock generator based on a novel low-power ring oscillator with a wide frequency tuning range and low temperature variations. The proposed ring oscillator consists of two differential delay cells with a new controllable capacitive load of an MOS transistor. The wide tuning range is achieved due to transistor utilization in different regions and considering its resistance not to narrow down the frequency range. Delay cells are biased with a minimum possible value of a proportion to absolute temperature current to decrease frequency variations to temperature while the power consumption is kept low. The validation of the proposed methods is proved by circuit... 

    Fabrication of dual-phase TiO2/WO3with post-illumination photocatalytic memory

    , Article New Journal of Chemistry ; Volume 44, Issue 46 , 2020 , Pages 20375-20386 Mokhtarifar, M ; Nguyen, D. T ; Diamanti, M. V ; Kaveh, R ; Asa, M ; Sakar, M ; Pedeferri, M ; Do, T. O ; Sharif University of Technology
    Royal Society of Chemistry  2020
    Abstract
    This study describes the synthesis of TiO2/WO3 composite systems with a varying concentration of WO3 by a glucose-template assisted method and demonstrates their round-the-clock photoactivity performance towards the degradation of methanol (MeOH) under illumination and dark conditions. XRD results indicated a biphasic anatase-rutile nature of TiO2, with tunable concentrations with respect to the WO3 loading. WO3 incorporation extended the light absorption of the system towards visible light, increasing the observed photoactivity. The obtained results were further validated using photo-electrochemical investigations such as photocurrent measurements and the impedance response of the systems.... 

    Ferrite-based wideband circularly polarized microstrip antenna design

    , Article ETRI Journal ; Volume 41, Issue 3 , 2019 , Pages 289-297 ; 12256463 (ISSN) Mashhadi, M ; Komjani, N ; Rejaei, B ; Ghalibafan, J ; Sharif University of Technology
    John Wiley and Sons Inc  2019
    Abstract
    In this paper, a wideband, circularly polarized patch antenna is proposed that leverages the unidirectional resonant modes of a circular patch mounted on top of a grounded dielectric-ferrite substrate. The proposed antenna is fed via the proximity coupling method and several parasitically coupled patches are placed on a dielectric superstrate to enhance the impedance bandwidth of the antenna. The resonant modes of the structure rotate only in the clockwise or counter clockwise directions. In the frequency range where the effective permeability of the ferrite layer is negative, the resonance frequencies of these modes differ significantly, which produces a large axial ratio (AR) bandwidth.... 

    Heuristic algorithm for periodic clock optimisation in scheduling-based latency-insensitive design

    , Article IET Computers and Digital Techniques ; Volume 9, Issue 3 , May , 2015 , Pages 165-174 ; 17518601 (ISSN) Zare, M ; Hessabi, S ; Goudarzi, M ; Sharif University of Technology
    Institution of Engineering and Technology  2015
    Abstract
    Delay in communication wires causes design iterations in system-on-chip. Latency-insensitive design copes with this issue by encapsulating each core in a shell wrapper and inserting buffers in the wires to separate the design of core from that of communication wires. Scheduling-based latency-insensitive protocol is a methodology which employs shift registers for periodic clock gating of blocks instead of the shell wrappers. In many cases, the bit sequences inside the shift registers are too long and therefore consume a large area. This study presents a heuristic algorithm that optimises the bit sequences and produces them with shorter lengths compared with the existing method. The algorithm... 

    Seeking better times: Atomic clocks in the generalized Tonks-Girardeau regime

    , Article Journal of Physics: Conference Series ; Volume 99, Issue 1 , 2008 ; 17426588 (ISSN) Mousavi, S. V ; Del Campo, A ; Lizuain, I ; Pons, M ; Muga, J. G ; Sharif University of Technology
    Institute of Physics Publishing  2008
    Abstract
    First we discuss briefly the importance of time and time keeping, explaining the basic functioning of clocks in general and of atomic clocks based on Ramsey interferometry in particular. The usefulness of cold atoms is discussed, as well as their limits if Bose-Einstein condensates are used. We study as an alternative a different cold-atom regime: the Tonks-Girardeau (TG) gas of tightly confined and strongly interacting bosons. The TG gas is reviewed and then generalized for two-level atoms. Finally, we explore the combination of Ramsey interferometry and TG gases. © 2008 IOP Publishing Ltd  

    Analysis of the effects of clock imperfections in N-path filters

    , Article Conference Proceedings - 13th IEEE International NEW Circuits and Systems Conference, NEWCAS 2015, 7 June 2015 through 10 June 2015 ; June , 2015 , Page(s): 1 - 4 ; 9781479988938 (ISBN) Nikoofard, A ; Kananian, S ; Khorami, A ; Fotowat Ahmady, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, the effect of imperfections on the behavior of N-path filters is investigated. Exact mathematical derivations are presented which describe the effect of clock skew and finite fall/rise time on the impedance transformation behavior of N-path filters. In the ideal case, the N-path filter is supposed to provide a short-circuit to the ground for undesired frequency contents and an open-circuit for the desired signal so that it lies within the passband of the filter. It is shown that clock skew and finite clock fall/rise time result in a non-zero impedance for frequency contents other than the clock frequency and a smaller impedance for the desired voltage. In a real circuit with... 

    Almost zero-jitter optical clock recovery using all-optical kerr shutter switching techniques

    , Article Journal of Lightwave Technology ; Volume 33, Issue 9 , February , 2015 , Pages 1737-1747 ; 07338724 (ISSN) Damani, R ; Salehi, J. A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    In this paper, a new all optical phase-locked loop (OPLL) is proposed and analyzed. The scheme relies on using two optical Kerr shutters to reveal the OPLL's error signal. The set of optical Kerr shutters and the subsequent low-speed photodetectors realize two nonlinear cross-correlations between the local clock pulse stream (called pump in Kerr shutter notations) and the time-shifted replicas of the incoming received data signal (called probe). The outputs of the cross-correlators are subtracted to form the error signal of the OPLL. We characterize the mathematical structure of the proposed OPLL and identify its two intrinsic sources of phase noise, namely, randomness of the received... 

    Analysis of imperfections in N-phase high-Q band-pass filters

    , Article IEEE International Symposium on Circuits and Systems, ISCAS 2015, 24 May 2015 through 27 May 2015 ; Volume 2015-July , May , 2015 , Pages 273-276 ; 02714310 (ISSN) ; 9781479983919 (ISBN) Nikoofard, A ; Kananian, S ; Behmanesh, B ; Atarodi, S. M ; Fotowat Ahmady, A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    The effect of clock skew and duty-cycle on the performance of high-Q N-phase band-pass filters (BPFs) have been examined in this paper. Following a mathematical approach and using the analytical derivations carried out, the effects of such non-idealities as clock skew and duty-cycle error are determined in an N-path filter. It is analytically proved that image signals from all integer multiples of the clock signal, rather than just those at (1 ± kN) multiples of the clock signal, land atop the wanted RF spectrum. In a real world clock generator, with non-idealities in effect, filtering property and proper behavior of the filter is adversely affected. Finally, system level simulation along... 

    Digital implementation of a biological astrocyte model and its application

    , Article IEEE Transactions on Neural Networks and Learning Systems ; Volume 26, Issue 1 , 2014 , Pages 127-139 ; 2162237X (ISSN) Soleimani, H ; Bavandpour, M ; Ahmadi, A ; Abbott, D ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2014
    Abstract
    This paper presents a modified astrocyte model that allows a convenient digital implementation. This model is aimed at reproducing relevant biological astrocyte behaviors, which provide appropriate feedback control in regulating neuronal activities in the central nervous system. Accordingly, we investigate the feasibility of a digital implementation for a single astrocyte and a biological neuronal network model constructed by connecting two limit-cycle Hopf oscillators to an implementation of the proposed astrocyte model using oscillator-astrocyte interactions with weak coupling. Hardware synthesis, physical implementation on field-programmable gate array, and theoretical analysis confirm... 

    Efficient design of a coplanar adder/subtractor in quantum-dot cellular automata

    , Article 9th UKSim-AMSS IEEE European Modelling Symposium on Computer Modelling and Simulation, EMS 2015, 6 October 2015 through 8 October 2016 ; 2015 , Pages 456-461 ; 9781509002061 (ISBN) Sangsefidi, M ; Karimpour, M ; Sarayloo, M ; Romero G ; Orsoni A ; Al-Dabass D ; Pantelous A ; Sharif University of Technology
    Institute of Electrical and Electronics Engineers Inc  2015
    Abstract
    Scaling of CMOS devices being aggressively decreasing by reduce of transistor dimensions. However, such level of integration leads to many physical limit and transistors cannot get much smaller than their current size. Quantum-dot Cellular Automate is a novel technology which significantly reduces physical limit of CMOS devices implementation, thus, it can be an appropriate candidate to be substituted for CMOS technology. In addition to high integration density of QCA circuits, other unique specifications such as high speed and low power consumption encourage researchers to utilize this technology instead of CMOS technology. In this paper, a new layout of XOR gate is presented in QCA...