Loading...

DsReliM: Power-constrained reliability management in Dark-Silicon many-core chips under process variations

Salehi, M ; Sharif University of Technology | 2015

643 Viewed
  1. Type of Document: Article
  2. DOI: 10.1109/CODESISSS.2015.7331370
  3. Publisher: Institute of Electrical and Electronics Engineers Inc , 2015
  4. Abstract:
  5. Due to the tight power envelope, in the future technology nodes it is envisaged that not all cores in a many-core chip can be simultaneously powered-on (at full performance level). The power-gated cores are referred to as Dark Silicon. At the same time, growing reliability issues due to process variations and soft errors challenge the cost-effective deployment of future technology nodes. This paper presents a reliability management system for Dark Silicon chips (dsReliM) that optimizes for reliability of on-chip systems while jointly accounting for soft errors, process variations and the thermal design power (TDP) constraint. Towards the TDP-constrained reliability optimization, dsReliM leverages multiple reliable application versions that can potentially execute on different cores with frequency variations and supporting differenst voltage-frequency levels, thus facilitating distinct power, reliability and performance tradeoffs at run time. Experiments show that our dsReliM system provides up to 20% reliability improvements under different TDP constraints when compared to a state-of-the-art technique. Also, compared to an ideal-case optimal solution, dsReliM deviates up to 2.5% in terms of reliability efficiency, but speeds up the reliability management decision time by a factor of up to 3100
  6. Keywords:
  7. Constrained optimization ; Cost effectiveness ; Efficiency ; Errors ; Hardware ; Hardware-software codesign ; Integrated circuit manufacture ; Radiation hardening ; Reconfigurable hardware ; Silicon ; Dark silicons ; Many core ; Power efficiency ; Process Variation ; Soft error ; Reliability
  8. Source: International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2015, 4 October 2015 through 9 October 2015 ; Oct , 2015 , Pages 75-82 ; 9781467383219 (ISBN)
  9. URL: http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7331370